国際会議・国内会議

2024年度

【招待講演】東 清一郎、松本 響平、Jiawen Yu、花房 宏明、“反応性大気圧熱プラズマジェットを用いた有機薄膜の超高速エッチング技術”、電子情報通信学会技術研究報告 有機エレクトロニクス研究会[OME] 信学技報 124(8)pp.20-23.(2024年4月20日、アマホームPLAZA).

2023年度

酒池 耕平、東 清一郎、“水蒸気低温プラズマジェット照射によるポリシラザンのシリカ転化メカニズム”、 第71回 応用物理学会春季学術講演会 講演予稿集 23p-12K-14(2024.3.22-25、東京都市大学 世田谷キャンパス&オンライン).
松本 響平、Jiawen Yu、花房 宏明、東 清一郎、“反応性大気圧熱プラズマジェットを用いたフォトレジストの超高速エッチングと表面温度の関係性”、第71回 応用物理学会春季学術講演会 講演予稿集 25a-61B-3(2024.3.22-25、東京都市大学 世田谷キャンパス&オンライン).
Yu Jiawen、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いた有機層/半導体界面の過渡熱伝導の測定”、第71回 応用物理学会春季学術講演会 講演予稿集 23p-52A-7(2024.3.22-25、東京都市大学 世田谷キャンパス&オンライン).
後藤 隆之介、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いたプラズマ照射下でのシリコンウェハ表面温度のリアルタイム測定”、 第71回 応用物理学会春季学術講演会 講演予稿集 22p-12G-8(2024.3.22-25、東京都市大学 世田谷キャンパス&オンライン).
J. Yu, H. Hanafusa, S. Higashi, “Interfacial Thermal Resistance Measurement at Polymer/Semiconductor Interface Using Optical-Interference Contactless Thermometry (OICT),” 4th China International Youth Conference on Electrical Engineering (CIYCEE2023), (Chengdu, China, Dec. 8-10). pp. 991-995.
R. Goto, J. Yu, H. Hanafusa, and S. Higashi, “Direct Measurement of silicon wafer surface temperature during plasma processing using Optical-Interference Contactless Thermometry (OICT),” 44th International Symposium on Dry Process (DPS2023), (Nagoya, Japan, Nov. 21-22, 2023). pp. 195-196. G-2
松本 響平、J. Yu、花房 宏明、 東 清一郎、“反応性大気圧プラズマジェットを用いたフォトレジストのミリ秒加熱と超高速エッチング特性の関係”、薄膜材料デバイス研究会 第20回研究集会、10p-P22(pp.183-185)(2023.11.9-10、龍谷大学 響都ホール+ネット配信).
酒池 耕平、東 清一郎、“水蒸気低温プラズマジェット照射によるポリシラザンのシリカ転化”、薄膜材料デバイス研究会 第20回研究集会、10p-P08(pp.163-165)(2023.11.9-10、龍谷大学 響都ホール+ネット配信).
後藤 隆之介、 花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いた容量結合型プラズマ中のシリコンウェハ表面温度 のリアルタイム測定”、薄膜材料デバイス研究会 第20回研究集会、09p-P27(pp.79-82)(2023.11.9-10、龍谷大学 響都ホール+ネット配信).
[招待講演]東 清一郎、“大気圧熱プラズマジェットの半導体デバイス作製プロセス応用”、第39回九州・山口プラズマ研究会 pp.79-94. (2023.11.3-4、日田天領水の宿).
[Invited] S. Higashi, H. Kato, J. Yu, K. Matsumoto, and H. Hanafusa, “Atmospheric-Pressure Reactive Thermal Plasma Jet Technology for Decarbonization of Semiconductor Manufacturing,” 244th ECS Meeting, (Gothenburg, Sweden, Oct. 8-12, 2023).
J. Yu, R. Goto, H. Hanafusa, S. Higashi, “MEASUREMENT OF TRANSIENT HEAT TRANSFER ACROSS ORGANIC/SEMICONDUCTOR INTERFACE USING OPTICAL INTERFERENCE CONTACTLESS THERMOMETRY (OICT),” 33rd International Symposium on Transport Phenomena (ISTP-33), (Kumamoto, Japan, Sept. 24-27, 2023).
[招待講演]東 清一郎、“光学干渉を利用した高精度非接触温度測定技術”、エッセンスフォーラム2023 セッション4-C (2023.9.22、東京ミッドタウン八重洲).
花房 宏明、東 清一郎、“シリコンキャップアニールを行った4H-SiCの深い準位の解析”、第84回 応用物理学会秋季学術講演会 講演予稿集 21a-P07-2(2023.9.19-23、熊本城ホールほか3会場).
花房 宏明、高橋 宏和、東 清一郎、岡村 好子、“マイクロチャンバマトリックス型㏗センサを用いたRHa-RCA法によるRNA増幅の検出”、第84回 応用物理学会秋季学術講演会 講演予稿集 20p-P10-8 (2023.9.19-23、熊本城ホールほか3会場).
Yu Jiawen、後藤 隆之介、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を基盤としたSU-8/SiCの界面熱抵抗測定技術を開発” 第84回 応用物理学会秋季学術講演会 講演予稿集 21p-B201-5 (2023.9.19-23、熊本城ホールほか3会場).
松本 響平、花房 宏明、東 清一郎、“反応性大気圧熱プラズマジェットを用いたフォトレジストの超高速エッチングと発光分光に関する検討” 第84回 応用物理学会秋季学術講演会 講演予稿集 22a-A401-10 (2023.9.19-23、熊本城ホールほか3会場).
後藤 隆之介、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いたプラズマプロセス中のシリコンウェハ表面温度のリアルタイム測定” 第84回 応用物理学会秋季学術講演会 講演予稿集 23p-A301-5 (2023.9.19-23、熊本城ホールほか3会場).
J. Yu, R. Goto, H. Hanafusa, and S. Higashi, “Measurement of Heat Dissipation between SiC and Thermal Interface Material in Power Device Packaging Based on Optical-Interference Contactless Thermometry,” 2023 International Conference on Solid State Devices and Materials(SSDM2023), (Nagoya Congress Center, Sep. 5-8, 2023). pp. 575-576. N-2-03
花房 宏明, 高橋 宏和, 東 清一郎, 岡村 好子, “マイクロチャンバ型pHセンサを用いたRHaRCA法によるRNA増幅の検出”、2023年度応用物理・物理系中国四国支部合同学術講演会 講演予稿集 Ap-9 P.18. (2023.7.29、島根大学).
後藤 隆之介, 花房 宏明, 東 清一 郎、“プラズマプロセス中のシリコンウェハ表面温 度のリアルタイム測定に向けたシステム開発”、2023年度応用物理・物理系中国四国支部合同学術講演会 講演予稿集 Cp-8 P.50. (2023.7.29、島根大学).
松本 響平, 花房 宏明, 東 清一郎、“反応性大気圧熱プラズマジェットを用いたフォ トレジストの超高速エッチングにおける酸素 流量と投入電力の効果”、2023年度応用物理・物理系中国四国支部合同学術講演会 講演予稿集 Cp-9 P.51. (2023.7.29、島根大学).
Jiawen Yu, 後藤 隆之介, 花房 宏明, 東 清一郎、Development of an experimental method for extraction of organic/semiconductor interfacial thermal resistance based on optical-interference contactless thermometry (OICT)” 2023年度応用物理・物理系中国四国支部合同学術講演会 講演予稿集 Da-8 P.59. (2023.7.29、島根大学).
J. Yu, H. Hanafusa, and S. Higashi, “Extraction of Organic/Semiconductor Interfacial Thermal Resisitance based on Optical Interference Contactless Thermometry (OICT),” 2023 Asia-Pacific Workshop on Advanced Semiconductor Devices(AWAD2023), (Tokyo Institute of Technology, Yokohama, Japan, Jul. 10-11, 2023). pp. 142-143.
[Invited] S. Higashi, H. Kato, H. Hanafusa, “Application of Atmospheric Pressure Thermal Plasma Jet for Semiconductor Device Fabrication,” International Conference on Processing & Manufacturing of advanced Materials (THERMEC’2023), (Vienna, Austria, Jul. 2 - 7, 2023).
後藤 隆之介、堀内 憲志郎、Jiawen Yu、花房 宏明、東 清一郎、“プラズマプロセス中のウェハ温度の非接触測定に向けた計測システムの開発” 電子情報通信学会技術研究報告 シリコン材料・デバイス研究会[SDM] 信学技報 123(8)pp.63-66.(2023年4月21日-22日、沖縄県青年会館+オンライン).

2022年度

[Invited]S. Higashi, A. Kameda, H. Kato, and H. Hanafusa, “Atmospheric Pressure Thermal Plasma Jet Technology for Semiconductor Device Manufacturing,” 15th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023), (Gifu, Japan, Mar. 5-9, 2023). 06aA03K
[Invited]S. Higashi, K. Fujimoto, and H. Hanafusa, “3-D Imaging of Temperature Variations in 4H-SiC Schottky Barrier Diode under Operation based on Optical Interference Contactless Thermometry,” 13th International Workshop on New Group IV Semiconductor Nanoelectronics, (Sendai, Japan, Jan. 23-24, 2023). pp. 43-44. I-09.
[招待講演]東 清一郎、“光学干渉非接触温度測定法によるパワーデバイス内部における自己発熱温度分布の三次元イメージングとデバイス劣化過程の観測”、先進パワー半導体分科会 第9回講演会 VI-1(pp.43-44)(2022.12.20-21、福岡国際会議場)
YU JIAWEN、松口 康太郎、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を基盤とした SiC ウエハの高速プラズマ処理時のリアルタイ ム温度測定技術の開発”、先進パワー半導体分科会 第9回講演会 IB-14(pp.121-122)(2022.12.20-21、福岡国際会議場)
松口 康太郎、Jiawen Yu、花房 宏明、東 清一郎、“OICT による通電加熱時におけるシリコンウェハ内部の3次元温度イメージング技術”、先進パワー半導体分科会 第9回講演会 ⅡA-8(pp.147-148)(2022.12.20-21、福岡国際会議場)
[Invited]S. Higashi, R. Sumichika, T. Suehiro, H. Hanafusa, and Y. Okamura, “Single Crystalline Silicon CMOSFETs on Plastic and Their Application to Highly Sensitive Virus Detection System,” Proc. 29th Int. Display Workshops (IDW’22), (Fukuoka, Japan, Dec. 14-16, 2022). pp. 1045-1046.
H. Kato, H. Hanafusa, T. Sato, S. Higashi, “Ultra-fast Etching of Photoresist by Reactive Atmospheric-pressure Micro-Thermal Plasma Jet,” 2022 International Symposium on Semiconductor Manufacturing (ISSM2022), (KFC Hall, Tokyo, Dec. 12-13, 2022). pp. 1-3. PO-42
加藤 響、花房 宏明、東 清一郎、“反応性大気圧熱プラズマジェットを用いたフォトレジストの局所超高速エッチング”、薄膜材料デバイス研究会 第19回研究集会、18p-P02(pp.139-141)(2022.11.17-18、龍谷大学 響都ホール+オンライン).
松口 康太郎、Jiawen Yu、花房 宏明、東 清一郎、“OICT による通電加熱時における Si ウェハ内の 過渡的温度分布の3次元イメージング技術”、薄膜材料デバイス研究会 第19回研究集会、18p-P01(pp.136-138)(2022.11.17-18、龍谷大学 響都ホール+オンライン).
Jiawen Yu,K. Matsuguchi, H. Hanafusa, and S. Higashi, “Development of A Real-Time Temperature Measurement Technique for SiC Wafer During Rapid Plasma Processing Based on Optical-Interference Contactless Thermometry (OICT)” 薄膜材料デバイス研究会 第19回研究集会、18p-O03(pp.232-235)(2022.11.17-18、龍谷大学 響都ホール+オンライン).
J. Yu, K. Matsuguchi, T. Sato, H. Hanafusa, S. Higashi, “Development of a Real-Time Temperature Measurement Technique for SiC Wafer During Ultra-Rapid Thermal Annealing Based on Optical-Interference Contactless Thermometry (OICT),” Ext. Abs.2022 International Conference on Solid State Devices and Materials (SSDM2022), (Makuhari Messe + Online Conference, Sept. 26-29, 2022). pp. 675-676.
松口 康太郎、Yu Jiawen、花房 宏明、佐藤 拓磨、東 清一郎、“通電過熱時におけるシリコンウェハ内の過渡的温度分布 の3次元イメージング”、 第83回 応用物理学会 秋季学術講演会 講演予稿集 22a-B204-11 (2022.9.20-23、東北大学 川内北キャンパス+オンライン).
Yu Jiawen、松口 康太郎、佐藤 拓磨、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を基盤としたSiCウ エハの高速熱処理時のリアルタイム温度測定技術の開発”、第83回 応用物理学会 秋季学術講演会 講演予稿集 奨 22a-B204-10 (2022.9.20-23、東北大学 川内北キャンパス+オンライン).
加藤 響、花房 宏明、佐藤 拓磨、東 清一郎、“反応性大気圧熱プラズマジェットを用いたフォトレジス トの超高速エッチング”、第83回 応用物理学会 秋季学術講演会 講演予稿集 22p-A406-6 (2022.9.20-23、東北大学 川内北キャンパス+オンライン).
花房 宏明、東 清一郎、“a-Si:H膜を用いたSiCへのシリコンキャップアニールと Siドット形成の低温化”、第83回 応用物理学会 秋季学術講演会 講演予稿集 20p-P04-19 (2022.9.20-23、東北大学 川内北キャンパス+オンライン).
Takuma Sato, Jean-Marie Mouesca, Motoharu Imai, Serge Gambarelli, and Takashi Suemasu, “Identification of Intrinsic and Extrinsic Defects in Balium Disilicide,” The 6th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2022 (APAC-Silicide 2022), (Online Conference, Jul. 30- Aug. 1, 2022).
住近 力也、 末弘 徹、花房 宏明、佐藤 拓磨、岡村 好子、東 清一郎、“ウイルスの高速検出に向けたアクティブマトリックス型pHセンサの研究”、2022年度応用物理・物理系中国四国支部合同学術講演会
松口 康太郎、藤本 渓也、Yu Jiawen、花房 宏明、佐藤 拓磨、東 清一郎、“光学干渉非接触温度測定法(OICT)によるシリコンウェハ内部の過渡的熱拡散過程の三次元イメージング”、電子情報通信学会技術研究報告 シリコン材料・デバイス研究会[SDM] 信学技報 122(8)pp.39-42.(2022年4月22日-23日、高千穂ホール+オンライン).

2021年度

Jiawen Yu, K. Fujimoto, K. Matsuguchi, T. Sato, H. Hanafusa and S. Higashi, “Research on Real-Time Temperature Measurement for SiC Wafer during Ultra-Rapid Thermal Annealing Based on Optical-Interference Contactless Thermometry (OICT),” International Workshop on Nanodevice Technologies 2022, in Memory of M. Hirose (IWNT2022), (RNBS, Hiroshima University, March 11, 2022).
[Invited] S. Higashi, “Direct Imaging of Semiconductor Device Self-heating Based on Optical Interference Contactless Thermometry,” International Workshop on Nanodevice Technologies 2022, in Memory of M. Hirose (IWNT2022), (RNBS, Hiroshima University, March 11, 2022).
T. Nojima, H. Hanafusa, T. Sato, S. Hayashi, S. Higashi, “Growth of High Crystallinity Silicon Films by Intermittent Pulse Heating assisted Plasma Enhanced Chemical Vapor Deposition,” Proc. Int. Symp. Dry Process (DPS2021), (Online Symposium, Nov. 18-19, 2021). pp. 157-158.
K. Fujimoto, H. Hanafusa, T. Sato, S. Higashi, “Visualization of Transient Internal Temperature Changes during SiC-Schottky Barrier Diode Operation using Optical-Interference Contactless Thermometry,” 13th European Conference on Silicon Carbide and Related Materials (ECSCRM2020·2021), (Tours, France and Virtual conference, 24-28, Oct. 2021).
[Invited] S. Higashi, “Millisecond Annealing by Atmospheric Pressure Thermal Plasma Jet and Direct Imaging of Temperature Distribution Using Optical Interference Contactless Thermometry (OICT),” 240th ECS Meeting, (Digital Meeting, Oct. 10-14, 2021).
Hoa ThiKhanh Nguyen, H. Hanafusa, R. Kawakita, K. Segawa, S. Higashi, “Characteristics of Millisecond Solid Phase Crystallized Silicon Films Formed by Micro-Thermal-Plasma-Jet and Their Application to Bottom-Gate Thin Film Transistor,” Ext. Abs.2021 International Conference on Solid State Devices and Materials (SSDM2021), (ALL-VIRTUAL conference, Sept. 6-9, 2021). pp. 552-553.
T. SATO, H. HANAFUSA, S. HIGASHI, “Effects of Crystallization Conditions on the Electrical Characteristics of P-type Ge TFTs Formed by Atmospheric Pressure Micro-Thermal-Plasma-Jet Irradiation,” Ext. Abs. 2021 International Conference on Solid State Devices and Materials (SSDM2021), (ALL-VIRTUAL conference, Sept. 6-9, 2021). pp. 554-555.
[Invited] S. Higashi, “Defect Control in Quasi Single-crystalline Silicon Strips on Insulator Formed by Atmospheric Pressure Thermal Plasma Jet,” International Conference on Processing & Manufacturing of advanced Materials (Thermec'2021), (Virtual Conference, JUNE 1-5, 2021).
堀内 憲志郎、佐藤 拓磨、花房 宏明、東 清一郎、“超ハイパワー大気圧熱プラズマジェット生成技術に関する研究” 第69回応用物理学会春季学術講演会 講演予稿集 24p-E103-1(2022.3.22-26、青山学院大学 相模原キャンパス+オンライン).
Jiawen Yu、Keiya Fujimoto、Kotaro Matsuguchi、Takuma Sato、Hiroaki Hanafusa、Seiichirou Higashi、“[Highlight]Development of automatic 3D temperature imaging technique for SiC wafer during ultra-rapid thermal annealing based on optical-interference contactless thermometry (OICT)”、 第69回応用物理学会春季学術講演会 講演予稿集 24p-E103-2(2022.3.22-26、青山学院大学 相模原キャンパス+オンライン).
藤本 渓也、花房 宏明、佐藤 拓磨、東 清一郎、“光学干渉非接触温度測定 (OICT)イメージングを用いたSiC Schottky barrier diodeの三次元自己発熱測定及び故障観察”、第69回応用物理学会春季学術講演会 講演予稿集 25p-E301-6(2022.3.22-26、青山学院大学 相模原キャンパス+オンライン).
松口 康太郎、藤本 渓也、Yu Jiawen、花房 宏明、佐藤 拓磨、東 清一郎、“シリコンウェハ内の過渡的熱拡散過程のイメージング技術に関する研究”、第69回応用物理学会春季学術講演会 講演予稿集 25p-E301-7(2022.3.22-26、青山学院大学 相模原キャンパス+オンライン).
野島 大志、花房 宏明、佐藤 拓磨、林 将平、東 清一郎、“間欠パルス加熱支援プラズマCVDによる高結晶シリコン膜の成長制御”、薄膜材料デバイス研究会 第18回研究集会、11p-O03(pp.18-20)(2021.11.11-12、オンライン).
佐藤 拓磨、花房 宏明、東 清一郎、“マイクロ熱プラズマジェットミリ秒アニールによるⅣ族半導体薄膜の結晶化と常磁性欠陥”、薄膜材料デバイス研究会 第18回研究集会、11p-O06(pp.33-36)(2021.11.11-12、オンライン).
花房 宏明、東堂 大地、東 清一郎、“シリコンキャップアニールを施した4H-SiCのコンタクト特性とエネルギーバンド構造の研究”、薄膜材料デバイス研究会 第18回研究集会、12a-O05(pp.66-69)(2021.11.11-12、オンライン).
藤本 渓也、花房 宏明、佐藤 拓磨、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いたSiC-Schottky Barrier Diode 内部温度イメージング及びデバイス故障過程の観察”、薄膜材料デバイス研究会 第18回研究集会、12a-O06(pp.70-72)(2021.11.11-12、オンライン).
松口 康太郎、藤本 渓也、Jiawen Yu、花房 宏明、佐藤 拓磨、東 清一郎、“シリコンウェハ内の過渡的熱拡散過程のイメージング技術に関する研究”、薄膜材料デバイス研究会 第18回研究集会、12p-P01(pp.73-76)(2021.11.11-12、オンライン).
岩﨑 真也、花房 宏明、佐藤 拓磨、東 清一郎、“水素化アモルファスシリコン薄膜を用いたフレキシブル脈波センサに関する研究”、薄膜材料デバイス研究会 第18回研究集会、12p-P03(pp.81-84)(2021.11.11-12、オンライン).
J. Yu、K. Fujimoto、K. Matsuguchi、T. Sato、H. Hanafusa、and S. Higashi、“Transient Temperature Imaging in SiC Wafer During Thermal-Plasma-Jet Annealing Based on Optical-Interference Contactless Thermometry”、 薄膜材料デバイス研究会 第18回研究集会、12p-P02(pp.77-80)(2021.11.11-12、オンライン).
酒池 耕平、東 清一郎、“金属ナノインクの体積変化を利用したレーザアニールによるプラスチック上での低抵抗 金属薄膜の形成”、薄膜材料デバイス研究会 第18回研究集会、12p-P16(pp.122-124)(2021.11.11-12、オンライン).
Jiawen Yu、Keiya Fujimoto、Kotaro Matsuguchi、Takuma Sato、Hiroaki Hanafusa、Seiichiro Higashi、“Automatic Temperature Measurement of SiC Wafer During Millisecond Thermal Processing Based on Optical-Interference Contactless Thermometry (OICT)”、第82回応用物理学会秋季学術講演会 講演予稿集  12a-N305-3(2021.9.10-13、オンライン).
Nguyen ThiKhanh Hoa、Hiroaki Hanafusa、Seiichiro Higashi、“Application of Millisecond Solid Phase Crystallization of Silicon Films Induced by Micro-Thermal-Plasma-Jet to Bottom-Gate Thin-Film Transistors”、第82回応用物理学会秋季学術講演会 講演予稿集 23a-P06-3 (2021.9.21-23、オンライン).
[招待講演]東 清一郎、Nguyen Hoa Thi Khanh、“大気圧プラズマジェット誘起ミリ秒固相結晶化とデバイス応用”、第82回応用物理学会秋季学術講演会 講演予稿集 11a-N105-3 (2021.9.10-13、オンライン).
松口 康太郎、藤本 渓也、 Yu Jiawen、花房 宏明、佐藤 拓磨、東 清一郎、“シリコンウェハ内の過渡的熱拡散過程のイメージング技術に関する研究”、第82回応用物理学会秋季学術講演会 講演予稿集 10p-N302-13 (2021.9.10-13、オンライン).
藤本 渓也、花房 宏明、佐藤 拓磨、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いた SiC-Schottky Barrier Diode 内部の過渡的温度測定”、第82回応用物理学会秋季学術講演会 講演予稿集 12a-N305-4 (2021.9.10-13、オンライン).
花房 宏明、東 清一郎、“ 大気圧熱プラズマビームによる鋼板の局所加熱法の研究”、第82回応用物理学会秋季学術講演会 講演予稿集  10p-S301-7(2021.9.10-13、オンライン).
野島 大志、花房 宏明、佐藤 拓磨、東 清一 郎、“プラズマCVD法によるシリコン膜堆積時の瞬間加熱による高結晶性シリコン膜の成長に関する研究”、第82回応用物理学会秋季学術講演会 講演予稿集 12p-N102-15 (2021.9.10-13、オンライン).
[招待講演]東 清一郎、“大気圧マイクロプラズマジェットを用いたアモルファスゲルマニウム薄膜の超急速結晶化と電気特性評価、”電子情報通信学会技術研究報告 シリコン材料・デバイス研究会(2021年4月23日-24日、オンライン開催).

2020年度

Hoa ThiKhanh Nguyen, H. Hanafusa, Y. Mizukawa, S. Hayashi, S. Higashi, “Characteristics of Rapidly Solid Phase Crystallized Amorphous Silicon Films Formed by Micro-Thermal-Plasma Jet Irradiation,” Ext. Abs. 2020 International Conference on Solid State Devices and Materials (SSDM2020), (ALL-VIRTUAL conference, Sept. 27-30, 2020). pp. 681-682.
岩崎 真也、花房 宏明、佐藤 拓磨、東 清一郎、“a-Si:H薄膜を用いたフレキシブル脈波センサーに関する研究”、第68回応用物理学会春季学術講演会 講演予稿集 19p-Z04-1(2021.3.16-19、オンライン).
Nguyen ThiKhanh Hoa、Hiroaki Hanafusa、Seiichiro Higashi、“Characteristics of Millisecond Solid Phase Crystallization of Phosphorus Doped Silicon Film Annealed by Thermal-Plasma-Jet Irradiation”、第68回応用物理学会春季学術講演会 講演予稿集 18p-Z24-7(2021.3.16-19、オンライン).
赤塚 祐允、佐藤 拓磨、花房 宏明、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化P型Ge膜の電気特性評価”、第68回応用物理学会春季学術講演会 講演予稿集 18p-Z24-6(2021.3.16-19、オンライン).
野島 大志、佐藤 拓磨、花房 宏明、東 清一郎、“プラズマCVDによるアモルファスシリコン堆積時の瞬間加熱に関する研究”、第68回応用物理学会春季学術講演会 講演予稿集 18p-Z24-5(2021.3.16-19、オンライン).
藤本 渓也、小柳 樹、佐藤 拓磨、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いたSiC-MOSFET動作時の過渡的内部温度変化の可視化”、第68回応用物理学会春季学術講演会 講演予稿集 18p-Z05-3(2021.3.16-19、オンライン).
裏崎 裕也、花房 宏明、東 清一郎、“光学干渉非接触温度測定(OICT)を用いたシリコンウェハ内部の過渡的温度変化過程の測定”、電子情報通信学会技術研究報告・有機エレクトロニクス研究会[OME](2020.12.25-26、オンライン).
藤本 渓也、小柳 樹、花房 宏明、東 清一郎、“光学干渉非接触温度測定法 (OICT) を用いたSiCウェハ内部の過渡的温度イメージング  ”、薄膜材料デバイス研究会 第17回研究集会、6a-O02(pp.50-51)(2020.11.5-6、オンライン).
赤塚 祐允、佐藤 拓磨、花房 宏明、東 清一郎、“大気圧マイクロ熱プラズマジェット照射により作製したP型ゲルマニウム薄膜の電気特性評価 ”、薄膜材料デバイス研究会 第17回研究集会、6p-P01 (pp.50-51)(2020.11.5-6、オンライン).
小柳 樹、花房 宏明、東 清一郎、“光学干渉非接触温度測定 (OICT) を用いたシリコンウェハ内部の過度的温度変化の可視化”、薄膜材料デバイス研究会 第17回研究集会、6p-P02   (pp.50-51)(2020.11.5-6、オンライン).
裏崎 裕也、花房 宏明、東 清一郎、“光学干渉非接触温度測定 (OICT) を用いたシリコンウェハ温度測定における測定温度範囲と精度の検証”、薄膜材料デバイス研究会 第17回研究集会、6p-P05(pp.50-51)(2020.11.5-6、オンライン).
山下 隆祐、花房 宏明、東 清一郎、“微小プラズマジェット発生技術及びその集積化に関する研究”、薄膜材料デバイス研究会 第17回研究集会、6p-P06(pp.50-51)(2020.11.5-6、オンライン).
河北 竜治、花房 宏明、東 清一郎、“メニスカス力を用いた薄膜低温転写技術のロール・ツー・ロールプロセスへの応用に向けた研究”、薄膜材料デバイス研究会 第17回研究集会、6p-P09(pp.50-51)(2020.11.5-6、オンライン).
Hoa ThiKhanh Nguyen, Hiroaki Hanafusa, Yuri Mizukawa, Shohei Hayashi, Seichiiro Higashi、“Investigation on millisecond solid phase crystallization of amorphous silicon films induced by micro thermal plasma jet”、 電子情報通信学会技術研究報告・シリコン材料・デバイス研究会[SDM] 信学技報120(205)pp. 20-24.(2020.10.22、オンライン).
Nguyen ThiKhanh Hoa, Yuri Mizukawa, Hiroaki Hanafusa, Seichiiro Higashi、“Investigation on Rapid Solid Phase Crystallization of Amorphous Silicon Films Induced by Micro-Thermal-Plasma Jet”、第81回応用物理学会秋季学術講演会 講演予稿集 10p-Z10-7(2020.9.8-11、オンライン).
河北 竜治、花房 宏明、水川 友里、東 清一郎、“中空構造SOI層を用いた高効率低温転写技術のロールtoロール製法への応用に向けた研究”、第81回応用物理学会秋季学術講演会 講演予稿集 10p-Z10-12(2020.9.8-11、オンライン).
花房 宏明、東堂 大地、東 清一郎、“シリコンキャップアニールを行った4H-SiC表面の電気伝導機構の解析”、第81回応用物理学会秋季学術講演会 講演予稿集 11a-Z23-8(2020.9.8-11、オンライン).
藤本 渓也、小柳 樹、水川 友里、花房 宏明、東 清一郎、“光学干渉非接触温度測定法(OICT)を用いたSiCウェハ内部の熱拡散過程の可視化”、第81回応用物理学会秋季学術講演会 講演予稿集 11a-Z23-9(2020.9.8-11、オンライン).
佐藤 拓磨、Mouesca Jean-Marie、Barra Anne-Laure、今井 基晴、東 清一郎、Gambarelli Serge、末益 崇、“新規薄膜太陽電池材料BaSi2内の常磁性・輻射性欠陥”、第81回応用物理学会秋季学術講演会 講演予稿集 11p-Z01-8(2020.9.8-11、オンライン).
小柳 樹、水川 友里、花房 宏明、東 清一郎、“光学干渉非接触温度測定(OICT)を用いた実測反射率測定における光吸収要因解析”、第81回応用物理学会秋季学術講演会 講演予稿集 11p-Z09-15(2020.9.8-11、オンライン).
佐藤 拓磨、Jean-Marie Mouesca、 Anne-Laure Barra、 今井 基晴、 東 清一 郎、 Serge Gambarelli、 末益 崇、 “電子スピン常磁性による新規太陽電池材 料BaSi2内の欠陥検出”、2020年度 応用物理・物理系学会中国四国支部合同学術講演会 (Ca-9)(2020年8月2日、オンライン).

2019年度

[Invited] S. Higashi, “Direct Observation of Ultra-rapid Solid Phase Crystallization of Amorphous Silicon Films Irradiated by Micro-Thermal Plasma Jet,” 8th International Symposium on Control of Semiconductor Interfaces(ISCSI-VIII), (Sendai, Japan, Nov. 27-30, 2019). pp.131-132.
K. Segawa, H. Hanafusa, Y. Mizukawa and S. Higashi, “Large Area Annealing by Magnetic Field Scanning of Atmospheric Pressure Thermal Plasma Beam,” Proc. Int. Symp. Dry Process (DPS2019), (Hiroshima, Japan, Nov. 21-22, 2019). pp. 179-180.
Y. Mizukawa, A. Kameda, H. Hanafusa and S. Higashi, “Precise determination of Temperature Distribution in Molten on Insulating Substrate Silicon Formed by Atmospheric Pressure Thermal Plasma Jet Annealing,” Proc. Int. Symp. Dry Process (DPS2019), (Hiroshima, Japan, Nov. 21-22, 2019). pp. 177-178.
A. Kameda, H. Hanafusa and S. Higashi, “Measurement of the Thermo-Optic Coefficient and Verification of Absolute Temperature for Plasma Processing Application of Optical Interference Contactless Thermometer of Silicon Wafer,” Proc. Int. Symp. Dry Process (DPS2019), (Hiroshima, Japan, Nov. 21-22, 2019). pp. 11-12.
[Invited] S. Higashi, “Single-Crystalline Silicon CMOS Fabrication on PET By Meniscus Force Mediated Layer Transfer Technique,” 236th Electrochem. Soc. (ECS) Meeting (Atlanta, GA, USA, Oct. 13 - 17, 2019). Abstract No. MA2019-02 1177
D. Todo, H. Hanafusa, S. Higashi, “Investigation on Electrical Characteristics of 4H-SiC Schottky-Barrier-Diodes after Silicon-Cap-Annealing,” International Conference on Silicon Carbide and Related Materials 2019 (ICSCRM 2019), (Kyoto, Japan, Sept. 29 - Oct. 4, 2019). Tu-P-30
T. Hirano, R. Mizukami, T. Yamashita, F. Kondo, H. Hanafusa, Y. Mizukawa and S. Higashi, “Development of High Yield Layer Transfer Process of Single Crystalline Silicon Thin Film on Plastic Substrate and Its Application to Floating Gate Memory Fabrication,” Ext. Abs. 2019 International Conference on Solid State Devices and Materials (SSDM2019), (Nagoya, Japan, Sept. 2-5, 2019). pp. 323-324. G-4-01
Y. Mizukawa, A. Kameda, H. Hanafusa and S. Higashi, “Two-dimensional Visualization of Temperature Distribution in Molten Region of a-Si Film during Atmospheric Pressure Thermal Plasma Jet Annealing,” Ext. Abs. 2019 International Conference on Solid State Devices and Materials (SSDM2019), (Nagoya, Japan, Sept. 2-5, 2019). pp. 317-318. G-2-04
K. Segawa, H. Hanafusa, Y. Mizukawa, and S. Higashi, “Generation of Atmospheric Pressure Thermal Plasma Beam by Nitrogen Addition to Ar DC Arc Discharge,” 2019 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2019), (Busan, Korea, Jul. 1-3, 2019), pp. 139-140. 
花房 宏明、東 清一郎、“大気圧熱プラズマジェットアニールにおける放射温度計を用いたGaNの温度測定”、第67回応用物理学会春季学術講演会 講演予稿集 13p-PA9-3(2020.3.12-15、上智大学 四谷キャンパス).
亀田 朝輝、水川 友里、花房 宏明、東 清一郎、“光学干渉非接触温度計を用いたプラズマプロセス中のシリコンウェハ温度の高精度測定”、第67回応用物理学会春季学術講演会 講演予稿集 15a-PB2-22(2020.3.12-15、上智大学 四谷キャンパス).
Nguyen ThiKhanh Hoa、Yuri Mizukawa、Hiroaki Hanafusa、Seiichiro Higashi、“Investigation the solid phase crystallization kinetics at the high-temperature region by annealing amorphous silicon using micro-thermal-plasma je” 第67回応用物理学会春季学術講演会 講演予稿集 15a-PB3-1(2020.3.12-15、上智大学 四谷キャンパス).
瀬川 和輝、花房 宏明、水川 友里、東 清一郎、“大気圧熱プラズマビームの磁場スキャンによる大面積熱処理技術の研究”、第67回応用物理学会春季学術講演会 講演予稿集 12p-A302-10(2020.3.12-15、上智大学 四谷キャンパス).
小柳 樹、亀田 朝輝、水川 友里、花房 宏明、東 清一郎、“光学干渉非接触温度測定(OICT)を用いたシリコンウェハ内部温度のミリ秒時間分解測定”、第67回応用物理学会春季学術講演会 講演予稿集 12p-A305-6(2020.3.12-15、上智大学 四谷キャンパス).
東堂 大地、花房 宏明、東 清一郎、“シリコンキャップアニーリングを行ったn型4H-SiCのオーミックコンタクト形成メカニズムの解明”、第67回応用物理学会春季学術講演会 講演予稿集 15a-A201-8(2020.3.12-15、上智大学 四谷キャンパス).
平野 友貴、河北 竜治、花房 宏明、東 清一郎、“単結晶シリコン薄膜のプラスチック基板への局所転写に関する研究”、第67回応用物理学会春季学術講演会 講演予稿集 15p-A305-9(2020.3.12-15、上智大学 四谷キャンパス).
河崎 星輝、花房 宏明、東 清一郎、“窒素ブースト大気圧熱プラズマジェットを用いた高温急速熱処理と4H-SiC中不純物の高速活性化”、先進パワー半導体分科会 第6回講演会予稿集 IIB-10(pp.215-216) (2019.12.3-12.4、広島国際会議場).
東堂 大地、花房 宏明、東 清一郎、“シリコンキャップアニールを行ったn型4H-SiCのオーミックコンタクト形成要因の調査”、先進パワー半導体分科会 第6回講演会予稿集 IIB-17(pp.229-230) (2019.12.3-12.4、広島国際会議場).
水川 友里、亀田 朝輝、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射で形成された融液シリコン内の2次元温度分布における高精度判定”、薄膜材料デバイス研究会 第16回研究集会、08P01(pp.50-51)(2019.11.8-9、龍谷大学 響都ホール交友会館).
Nguyen ThiKhanh Hoa, Yuri Mizukawa, Hiroaki Hanafusa, Seichiiro Higashi、“Direct observation of phase transformation and transient reflectivity of amorphous silicon film during micro-thermal plasma jet irradiation”、 第80回応用物理学会秋季学術講演会 講演予稿集 18p-C309-6(2019.9.18-9-21、北海道大学 札幌キャンパス).
水川 友里、亀田 朝輝、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射中におけるa-Si膜上溶融領域の温度分布可視化のためのin-situモニタリング”、第80回応用物理学会秋季学術講演会 講演予稿集 18a-E304-5(2019.9.18-9-21、北海道大学 札幌キャンパス).
東 清一郎、“水のメニスカス力を利用した単結晶シリコン転写技術によるプラスチック上CMOSデバイス作製”、広島大学、静岡大学合同ワークショップ 研究交流会2019(2019.6.28、静岡大学浜松キャンパス).

2018年度

S. Nagasawa, H. Hanafusa, S. Higashi, “Batteryless Operation of Single Crystalline Silicon CMOS Logic Circuits on a Flexible Substrate by Perovskite Photovoltaic Cells,” Abs. 15th Int. Thin-Film Transistor Conf. (ITC2019), (Naha, Japan, Feb. 28 - Mar. 2, 2019). pp. 86-87.
[Invited] S. Higashi, “Visualization of Temperature Field in Molten Silicon Formed by Thermal Plasma Jet Induced Ultra-rapid Annealing,” 2019 Int. Thin-Film Transistor Conf. (ITC2019), (Naha, Japan, Feb. 28 – Mar. 2, 2019), pp.52-53.
[Invited] S. Higashi, “Ultra-rapid Phase Transformation of Amorphous Germanium Thin-Films on Insulator Induced by Atmospheric Pressure Thermal Plasma Jet Irradiatio,” 12th International WorkShop on New Group IV Semiconductor Nanoelectronics, (Sendai, Japan, Dec. 6-7, 2018).
Y. Mizukawa, H. Hanafusa and S. Higashi, “Visualization of Temperature Field in Molten Silicon Formed by Atmospheric Pressure Thermal Plasma Jet Irradiation,” Proc. Int. Symp. Dry Process (DPS2018), (Nagoya, Japan, Nov. 13-15, 2018). pp. 39-40.
H. Hanafusa, S. Higashi, and K. Shiozaki, “Capless Annealing of Mg Implanted GaN (0001) Face Using Atmospheric Pressure Thermal Plasma Jet,” Tech. Dig. International Workshop on Nitride Semiconductors (IWN2018), (Kanazawa, Japan, Nov. 11-16, 2018) p. 53.
S. Higashi, H. Harada, and T. Nakatani, “Melting and Crystallization of Amorphous Germanium Films on Insulating Substrate By Atmospheric Pressure Micro-Thermal-Plasma-Jet,” 2018 ECS and SMEQ Joint Int. Meeting (Cancun, Mexico, Sept. 30 - Oct. 4, 2018), #1064
[Invited] Y. Mizukawa, H. Hanafusa, and S. Higashi, “Analysis of a Molten Region on Amorphous Silicon Film By High-Speed Camera and Contactless Temperature Measurement during Atmospheric Pressure Thermal Plasma Jet Annealing,” 2018 ECS and SMEQ Joint Int. Meeting (Cancun, Mexico, Sept. 30 - Oct. 4, 2018), #1196
W. Nakano, H. Hanafusa, S. Higashi, “Ultra-High-Speed Crystallization of Amorphous Silicon Films on Flexible Glass Substrate by Thermal-PlasmaJet Irradiation Using Cylindrical Rotation Stage,” 2018 International Conference on Solid State Devices and Materials (SSDM2018), (Tokyo, Japan, Sept. 9-13, 2018). N-8-04
D. Todo, H. Hanafusa, S. Higashi, “Investigation on Contact Property on Silicon-Cap-Annealed n-type 4H-SiC,” European Conference on Silicon Carbide and Related Materials (ECSCRM 2018), (Birmingham, UK, Sept. 2-6, 2018).
S. Kawasaki, H. Hanafusa, S. Higashi, “Millisecond Annealing of 4H-SiC Wafer for Impurity Activation by Nitrogen-boosted Atmospheric Pressure Thermal Plasma Jet,” European Conference on Silicon Carbide and Related Materials (ECSCRM 2018), (Birmingham, UK, Sept. 2-6, 2018).
[Invited] S. Higashi, “Meniscus Force Mediated Transfer of Single-Crystalline Silicon Thin Films on PET Substrate and Its Application to CMOS Circuit Fabrication,” 18th International Meeting on Information Display (IMID2018), (Busan, Korea, Aug. 28-31, 2018).
花房 宏明、東 清一郎、塩崎 宏司、“大気圧熱プラズマジェット照射後のポストアニールによるGa極性面GaNにイオン注入したMgの活性化促進”、第66回応用物理学会春季学術講演会 講演予稿集 11a-M121-3(2019.3.9-12、 東京工業大学 大岡山キャンパス).
水川 友里、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射中の溶融シリコン内温度分布解析”、 第66回応用物理学会春季学術講演会 講演予稿集 10a-M114-9(2019.3.9-12、東京工業大学 大岡山キャンパス).
花房 宏明、沖 昴志、東 清一郎, “菊池線パターン解析によるSi結晶内の欠陥量評価法の開発” 第66回応用物理学会春季学術講演会 講演予稿集 11p-PA6-3 (2019.3.9-12、東京工業大学 大岡山キャンパス).
長澤 聡、花房 宏明、東 清一郎、“ペロブスカイト太陽電池と単結晶シリコンCMOS論理回路のガラス基板上における集積化”、第66回応用物理学会春季学術講演会 講演予稿集 11a-PB3-9(2019.3.9-12、東京工業大学 大岡山キャンパス).
近藤 史康、花房 宏明、東 清一郎、“プラスチック上のフローティングゲートメモリ作製のための低温プロセス技術に関する研究”、第66回応用物理学会春季学術講演会 講演予稿集 9p-M114-8(2019.3.9-12、 東京工業大学 大岡山キャンパス).
平野 友貴、花房 宏明、東 清一郎、“単結晶シリコン薄膜のフレキシブル基板上への繰り返し転写プロセスの構築”、第66回応用物理学会春季学術講演会 講演予稿集 11a-W934-5(2019.3.9-12、東京工業大学 大岡山キャンパス).
河北 竜治、平野 友貴、花房 宏明、東 清一郎、“中空構造SOI層を用いた高効率低温転写技術における転写時間の短縮化”、第66回応用物理学会春季学術講演会 講演予稿集 9p-M114-7(2019.3.9-12、東京工業大学 大岡山キャンパス).
亀田 朝輝、花房 宏明、東 清一郎、“熱電対を用いた裏面温度測定によるシリコンウェハ非接触温度測定の絶対温度の検証”、 第66回応用物理学会学術講演会 講演予稿 12a-W641-8(2019.3.9-12、東京工業大学 大岡山キャンパス).
[招待講演] 東 清一郎、“メニスカス力を利用した転写法によるプラスチック基板上単結晶シリコンCMOS回路作製技術”、電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第24回研究会) 予稿集pp.47-50(2019.1.24-1.26、東レ総合研修センター).
[招待講演] 東 清一郎、「ヘテロインテグレーションに向けたプラスチックへの単結晶シリコン薄膜転写技術」平成30年度日本材料科学会関西・中国支部 第1回支部講演会  (2018年11月28日、近畿大学広島キャンパス).
水川 友里、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射中における溶融シリコン内温度分布の2次元的可視化”、薄膜材料デバイス研究会 第15回研究集会、09a-O01(pp.44-45)(2018.11.9-10、龍谷大学 響都ホール交友会館).
瀬川 和輝、花房 宏明、東 清一郎、“窒素ブースト大気圧熱プラズマジェットの電極消耗量の低減”、薄膜材料デバイス研究会 第15回研究集会、10p-P02(pp.157-160)(2018.11.9-10、龍谷大学 響都ホール交友会館).
長澤 聡、花房 宏明、東 清一郎、“ペロブスカイト太陽電池によるフレキシブル基板上の単結晶シリコンCMOS 論理回路のバッテリーレス動作”、薄膜材料デバイス研究会 第15回研究集会、09p-O03(pp.62-65)、(2018.11.9-10、龍谷大学 響都ホール交友会館).
花房 宏明、東 清一郎、塩崎 宏司、“大気圧熱プラズマジェット照射によるGa極性面GaNにイオン注入したMgの活性化”、第79回応用物理学会秋季学術講演会 講演予稿集 19p-CE-13 (2018.9.18-21、名古屋国際会議場).
水川 友里、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射による溶融シリコン内温度分布の可視化”、第79回応用物理学会秋季学術講演会 講演予稿集 20a-233-1(2018.9.18-21、名古屋国際会議場).
河崎 星輝、花房 宏明、東 清一郎、“窒素ブースト大気圧熱プラズマジェットを用いた4H-SiCウェハ中不純物のミリ秒高速活性化アニール”、 第79回応用物理学会秋季学術講演会 講演予稿集 20p-221C-2(2018.9.18-21、名古屋国際会議場).
亀田 朝輝、花房 宏明、東 清一郎、“シリコン熱光学係数の精密測定による非接触温度測定の高精度化”、第79回応用物理学会秋季学術講演会 講演予稿集 21a-233-4(2018.9.18-21、名古屋国際会議場).
長澤 聡、花房 宏明、東 清一郎、“ペロブスカイト太陽電池によるフレキシブル基板上の単結晶シリコンCMOS論理回路のバッテリーレス動作”、第79回応用物理学会秋季学術講演会 講演予稿集 21a-432-6(2018.9.18-21、名古屋国際会議場).
東堂 大地、花房 宏明、東 清一郎、“シリコンキャップアニールを行ったn型4H-SiCのコンタクト特性に関する調査”、第79回応用物理学会秋季学術講演会 講演予稿集 20p-221C-3(2018.9.18-21、名古屋国際会議場).
平野 友貴、東 清一郎、“中空構造 SOI 層を用いた高効率低温転写技術のための リソグラフィプロセスの構築”、第79回応用物理学会秋季学術講演会 講演予稿集 19a-233-8(2018.9.18-21、名古屋国際会議場).
近藤 史康、東 清一郎、“中空構造SOI層の低温転写技術を用いたフローティングゲート作製プロセス技術に関する研究”、第79回応用物理学会秋季学術講演会 講演予稿集 19a-233-9(2018.9.18-21、名古屋国際会議場).
河崎 星輝、花房 宏明、東 清一郎、“窒素ブースト大気圧熱プラズマジェット照射による4H-SiCウェハのミリ秒アニールと不純物の高速活性化、 2018年度 応用物理学会中国四国支部若手半導体研究会 講演予稿集 P-31(2018.8.4-5、広島大学 西条共同研修センター).

2017年度

[Invited] H. Hanafusa, S. Higashi, “Activation of High-temperature-implanted Phosphorus Atoms in 4H-SiC by Atmospheric Pressure Thermal Plasma Jet Annealing,” Ext. Abs. 2018 18th Int. Workshop Junction Tech. (IWJT-2018), (Shanghai, China, Mar. 8-9, 2018), pp. 24-27.
K. Teramoto, H. Hanafusa, and S. Higashi, “Large Area Annealing Method by Magnetic Field Deflected Atmospheric-pressure Thermal-Plasma-Jet irradiation,” Ext. Abs. Int. Workshop Nanodevice Technologies 2018 (IWNT2018), (Higashi-Hiroshima, Japan, Mar. 2, 2018), pp. 32-33.
[Invited] S. Higashi, “Nitrogen-boosted Atmospheric Pressure Thermal-Plasma-Jet Generation and Its Application to Crystallization of Amorphous Silicon Films on Flexible Glass,” 2018 Int. Thin-Film Transistor Conf. (ITC2018), (Guangzhou, China, Feb. 28 – Mar. 2, 2018), pp. 46.
R. Mizukami, T. Yamashita, and S. Higashi, “Single Crystalline Silicon CMOS Circuit Fabrication on Polyethylene Terephthalate Substrate by Meniscus Force Mediated Layer Transfer Technique,” 2018 Int. Thin-Film Transistor Conf. (ITC2018), (Guangzhou, China, Feb. 28 – Mar. 2, 2018), pp. 44.
[Invited] S. Higashi, “Crystallization of Group IV Semiconductor Thin-Films by Atmospheric Pressure Thermal Plasma Jet,” 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, (Sendai, Japan, Feb. 23-24, 2018).
S. Higashi, M. Wei, T. Kanamaru, “Low Temperature Formation of SiNx Encapsulation Films by Remote Plasma Enhanced Chemical Vapor Deposition,” Proc. 24th Int. Display Workshops (IDW’17), (Sapporo, Japan, Dec. 6-8, 2017), pp.687-689.
R. Mizukami, T. Yamashita, S. Higashi, “Single Crystalline Silicon CMOS Circuit Fabrication on Plastic Substrate by Meniscus Force Mediated Layer Transfer Technique,” Proc. 24th Int. Display Workshops (IDW’17), (Sapporo, Japan, Dec. 6-8, 2017), pp. 1525-1528.
H. Hanafusa, W. Nakano, R. Nakashima and S. Higashi, “Extremely High-power-density Atmospheric Pressure Thermal-Plasma-Jet Generated by Nitrogen-boost Effect,” Proc. Int. Symp. Dry Process (DPS2017), (Tokyo, Japan, Nov. 16-17, 2017). pp. 33-34.
H. Hanafusa, T. Taniguchi and S. Higashi, “Silicidation-Less Ohmic Contact Formation on N-Type 4H-SiC with Silicon Cap Annealing,” International Conference on Silicon Carbide and Related Materials (ICSCRM2017), (Washington, D.C., Sept. 17–22, 2017).
T. Hieda, H. Hanafusa, S. Higashi, “Investigation on Crack suppression by Thermal-Plasma-Jet Crystallization of Amorphous Silicon Films on Flexible Glass Substrate,” 231st Electrochem. Soc. (ECS) Meeting (New Orleans, LA, USA, May. 28 - Jun.1, 2017). #1019.
東堂 大地、花房 宏明、東 清一郎、“シリコンキャップアニールを用いたn型4H-SiCのオーミックコンタクト特性に対する昇温レートの影響”、第65回応用物理学会春季学術講演会 講演予稿集 20a-D103-2(2018.3.17-20、早稲田大学 西早稲田キャンパス).
花房 宏明、東 清一郎、塩崎 宏司、“大気圧熱プラズマジェット照射によるGaN中のMgの活性化”、第65回応用物理学会春季学術講演会 講演予稿集 18a-C302-12 (2018.3.17-20、早稲田大学 西早稲田キャンパス).
山下 知徳、水上 隆達、東 清一郎、“イオン注入を用いた中空構造SOI層のピラー形状制御による高効率低温転写法” 第65回応用物理学会春季学術講演会 講演予稿集 17a-C101-11(2018.3.17-20、早稲田大学 西早稲田キャンパス).
水上 隆達、山下 知徳、東 清一郎、“中空構造SOI層を用いた低温転写によるフレキシブル基板上での単結晶シリコンCMOS回路作製プロセスの構築” 第65回応用物理学会春季学術講演会 講演予稿集 17a-C101-10(2018.3.17-20、早稲田大学 西早稲田キャンパス).
原田 大夢、花房 宏明、東 清一郎、“大気圧マイクロ熱プラズマジェットを用いた高移動度n型Ge膜の作製” 第65回応用物理学会春季学術講演会 講演予稿集 17a-C101-3(2018.3.17-20、早稲田大学 西早稲田キャンパス).
水川 友里、中野 航、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射と高速度カメラ組み込み型回転ステージによる結晶成長のリアルタイム観察”、第65回応用物理学会春季学術講演会 講演予稿集 17a-C101-2(2018.3.17-20、早稲田大学 西早稲田キャンパス).
花房 宏明、東堂 大地、東 清一郎、“シリコンキャップアニール処理したn型4H-SiC表面の電子状態評価”、電子デバイス界面テクノロジー研究会 第23回研究会 材料・プロセス・デバイス特性の物理 pp. 107 – 110.(2018.1.19-1.20、東レ総合研修センター).
村上 秀樹、浜田 慎也、東 清一郎、諏訪 輝、池上 浩、“エキシマレーザーによるGe中のAsの高効率活性化及びX線光電子分光法を用いた化学状態評価”、電子デバイス界面テクノロジー研究会 第23回研究会 材料・プロセス・デバイス特性の物理 pp. 143 – 146.(2018.1.19-1.20、東レ総合研修センター).
[招待講演] 東 清一郎、「単結晶シリコン薄膜転写技術によるプラスチック上CMOS回路動作」、電子情報通信学会技術研究報告 有機エレクトロニクス研究会(2017年12月1日、サンメッセ鳥栖), 信学技報117(334)(2017年11月24日)pp. 53-57.
寺本 憲司、花房 宏明、東 清一郎、“SiC デバイス作製プロセスに向けた大気圧熱プラズマジェットの磁場偏向による大面積熱処理 技術の研究”、先進パワー半導体分科会 第4回講演会 IIA-8 (pp. 171-172) (2017.11.1-11.2、名古屋国際会議場).
花房 宏明、中野 航、中島 涼介、東 清一郎、“窒素ブーストにより超ハイパワー化した大気圧熱プラズマジェットの加熱特性”、 薄膜材料デバイス研究会 第14回研究集会、20p-O02 (pp. 45-47)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
沖 昴志、花房 宏明、東 清一郎、“菊池線パターン解析による結晶欠陥評価の研究”、 薄膜材料デバイス研究会 第14回研究集会、20p-P03 (pp. 62-64)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
稗田 竜己、花房 宏明、東 清一郎、“フレキシブルガラス基板上アモルファスシリコン膜の熱プラズマジェット結晶化における残留熱応力解析”、薄膜材料デバイス研究会 第14回研究集会、20p-P05 (pp. 65-67)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
中島 涼介、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェット照射によるシリコン薄膜の高速溶融結晶化と結晶成長のその場観察”、薄膜材料デバイス研究会 第14回研究集会、20p-P07 (pp. 68-71)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
水上 隆達、山下 知徳、東 清一郎、“中空構造SOI層を用いた低温転写によるプラスチック基板上での単結晶シリコンCMOS回路作製プロセス構築”、薄膜材料デバイス研究会 第14回研究集会、20p-R02 (pp. 104-107)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
中野 航、花房 宏明、東 清一郎、“円筒型回転ステージを用いたフレキシブルガラス基板上アモルファスシリコン大気圧熱プラズマジェット結晶化及び大面積処理”、薄膜材料デバイス研究会 第14回研究集会、21p-P06 (pp. 145-147)(2017.10.20-10.21、龍谷大学 響都ホール校友会館).
中野 航、花房 宏明、東 清一郎、“円筒型回転ステージを用いたフレキシブルガラス基板上アモルファスシリコン膜の大気圧熱プラズマジェット照射による高速結晶化”、第78回応用物理学会秋季学術講演会 講演予稿集 7a-C21-10(2017.9.5-8、福岡国際会議場・国際センター・福岡サンパレス).
稗田 竜己、花房 宏明、東 清一郎、“フレキシブルガラス基板上アモルファスシリコン膜の熱プラズマジェット結晶化における残留熱応力解析”、第78回応用物理学会秋季学術講演会 講演予稿集 7a-C21-9(2017.9.5-8、福岡国際会議場・国際センター・福岡サンパレス).
中野 航、花房 宏明、東 清一郎、“円筒型回転ステージを用いたフレキシブルガラス基板上アモルファスシリコン膜の窒素ブースト大気圧プラズマジェット照射による連続結晶成長”、第78回応用物理学会秋季学術講演会 講演予稿集 5a-A204-3(2017.9.5-8、福岡国際会議場・国際センター・福岡サンパレス).
寺本 憲司、花房 宏明、東 清一郎、“SiCデバイス作製プロセスに向けた大気圧熱プラズマジェットによる大面積熱処理”、第78回応用物理学会秋季学術講演会 講演予稿集 6a-A201-11(2017.9.5-8、福岡国際会議場・国際センター・福岡サンパレス).
[注目講演]  花房 宏明、中島 涼介、東 清一郎、“窒素ブーストによる大気圧熱プラズマジェットの超ハイパワー化”、第78回応用物理学会秋季学術講演会 講演予稿集 7p-A413-9(2017.9.5-8、福岡国際会議場・国際センター・福岡サンパレス).
中野 航、花房 宏明、東 清一郎、“円筒型回転ステージを用いたフレキシブルガラス基板上アモルファスシリコン膜の大気圧熱プラズマジェット結晶化および連続結晶成長”、2017年度 応用物理・物理系学会中国四国支部 合同学術講演会(Da-9)P.61.(2017.7.29、愛媛大学 城北キャンパス).
中野 航、稗田 竜己、花房 宏明、東 清一郎、“回転ステージを用いたフレキシブルガラス基板上アモルファスシリコンの大気圧熱プラズマジェット結晶化”、シリコン材料・デバイス研究会[SDM](2017.4.20-4.21、龍郷町生涯学習センター).

2016年度

R. Mizukami, S. Takeshima, T. Yamashita, and S. Higashi, “Improvement of Transfer Yield of Single-Crystalline Silicon Films and Fabrication of Thin-Film Transistors and Inverters on Plastic Substrate,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 44-45.
S. Takeshima, R. Mizukami, T. Yamashita, and S. Higashi, “Miniaturization of Single Crystalline Silicon Layer Transferred to Flexible Substrate by Meniscus Force Mediated Layer Transfer Technique,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 46-47.
H. Tamaru, H. Ikenoue, and S. Higashi, “Crystallization and Activation of As+ doped Amorphous Ge films by Excimer Laser Annealing,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 48-49.
T. Hieda, H. Hanafusa, and S. Higashi, “Investigation on Crack Suppression Mechanism in Micro-Thermal-Plasma-Jet Crystallization of Amorphous Silicon Films on Flexible Glass Substrate,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 50-51.
T. Taniguchi, H. Hanafusa, and S. Higashi, “Formation of Ohmic Contact for N-type 4H-SiC Layer by Silicon Cap Annealing,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 52-53.
J. Inoue, H. Hanafusa, and S. Higashi, “Development of Self-Align Process Technique for 4H-SiC MOSFET with Thermal-Plasma-Jet Annealing,” Ext. Abs. Int. Workshop Nanodevice Technologies 2017 (IWNT2017), (Higashi-Hiroshima, Japan, Mar. 2, 2017), pp. 54-55.
R. Mizukami, S. Takeshima, T. Yamashita and S. Higashi, “Fabrication of single crystalline silicon thin film transistors and logic circuits on plastic substrate by meniscus force mediated layer transfer technique,” 13th Int. Thin-Film Transistor Conf. 2017 (ITC2017), (Austin, TX, USA, Feb. 23-24, 2017), pp. 17-18.
T. Hieda, R. Shin, H. Hanafusa, S. Higashi, “Micro-thermal-plasma-jet Crystallization of Amorphous Silicon Films on Flexible Glass Substrate,” 34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science for Materials (SPSM29), (Hokkaido, Japan, Jan. 16-18, 2017), 17pB5.
R. Nakashima, R. Shin, H. Hanafusa and S. Higashi, “Generation of Ultra High Power Thermal Plasma Jet (Super TPJ) and Its Application to Crystallization of Amorphous Silicon Films,” Proc. Int. Symp. Dry Process (DPS2016), (Sapporo, Japan, Nov. 21-22, 2016), pp. 33-34.
H. Harada, R. Shin, H. Hanafusa, S. Higashi, “Crystallization and Activationof P+ Dope a-Ge Film by Atmospheric Pressure Micro-Thermal-Plasma-Jet,” Ext. Abs. 2016 Int. Conf. Solid State Dev. Mat. (SSDM2016), (Tsukuba, Japan, Sept. 26-29, 2016), pp. 193-194. D-2-03.
H. Hanafusa, R. Ishimaru, S. Higashi, “High-temperature Oxidation of 4H-SiC by Thermal-Plasma-Jet, 11th European Conference on Silicon Carbide and Related Materials (ECSCRM2016), (Halkidiki, Greece, Sept. 25-29, 2016), pp. 243-244. MoP.15. (p.34)
R. Mizukami, S. Takeshima, T. Yamashita, and S. Higashi, “Improvement of transfer yield of single-crystalline silicon films and fabrication of thin-film transistors on polyethylene terephthalate substrate,” International Conference on Flexible and Printed Electronics (ICFPE2016), (Yamagata, Japan, Sept. 6-8, 2016), O12-4. p. 88.
S. Higashi, H. Harada, T. Nakatani, “Atmospheric pressure micro-thermal-plasma-jet irradiation on amorphous germaniumstrips and its application to thin film transistor fabrication,” 2016 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2016), (Hakodate, Japan, Jul. 4- 6, 2016), pp. 427-429.
[Invited] S. Higashi, “Activation of Impurity Atoms in 4H-SiC Wafer by Atmospheric Pressure Thermal Plasma Jet Irradiation,” Ext. Abs. 2016 Int. Workshop Junction Tech. (IWJT-2016), (Shanghai, China, May. 9-10, 2016), pp. 68-71.
東 清一郎、“超ハイパワー熱プラズマジェットによる核生成制御”、薄膜材料デバイス研究会 山陰特別研究会(土屋教授退職記念)(2017.3.21、清嵐荘).
[招待講演] 東 清一郎、「熱プラズマジェットによる超急速熱処理と半導体デバイス応用」、日本物理学会 第72回年次大会(2017年)18pC34-5 (2017.3.17-20、大阪大学 豊中キャンパス).
原田 大夢、東 清一郎、花房 宏明、新 良太、“大気圧マイクロ熱プラズマジェットを用いた高移動度n型Ge膜の作製”、第64回応用物理学会春季学術講演会 講演予稿集 14a-304-9(2017.3.14-17、パシフィコ横浜).
中島 涼介、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェットの加熱特性評価とシリコン薄膜の結晶成長制御”、第64回応用物理学会春季学術講演会 講演予稿集 14a-304-6(2017.3.14-17、パシフィコ横浜).
水上 隆達、竹島 真治、山下 知徳、東 清一郎、“中空構造SOI層を用いた低温転写における転写歩留まり向上とプラスチック基板上での単結晶シリコンTFTと論理回路の作製”、第64回応用物理学会春季学術講演会 講演予稿集 14a-304-10(2017.3.14-17、パシフィコ横浜).
田丸 宏樹、池上 浩、東 清一郎、“エキシマレーザーアニールによる薄膜Geの溶融結晶化とn型不純物活性化”、第64回応用物理学会春季学術講演会 講演予稿集 14a-304-3(2017.3.14-17、パシフィコ横浜).
 [招待講演]中島 涼介、新 良太、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェットの生成とアモルファスシリコン結晶化への応用”シリコンテクノロジー分科会 第199回研究集会、pp. 7-12(2017.2.17、東京大学本郷キャンパス).
寺本 憲司、花房 宏明、東 清一郎、“大気圧熱プラズマジェットの磁場制御とSiCデバイス作製プロセスに向けた大面積熱処理への応用”、先進パワー半導体分科会 第3回講演会 P-78 (pp. 200-201) (2016.11.8-11.9、つくば国際会議場).
谷口 太一、花房 宏明、東 清一郎、“Si層挿入によるn型4H-SiCにおけるオーミックコンタクト形成要因の調査” 先進パワー半導体分科会 第3回講演会 P-84 (pp. 212-213)(2016.11.8-11.9、つくば国際会議場).
花房 宏明、東 清一郎、“大気圧熱プラズマジェットを用いた4H-SiC の熱酸化”、薄膜材料デバイス研究会 第13回研究集会、21p-O02 (pp.49-51)(2016.10.21-10.22、龍谷大学 響都ホール 校友会館).
中島 涼介、新 良太、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェット照射によるシリコン薄膜の高速溶融結晶化と結晶成長制御”、薄膜材料デバイス研究会 第13回研究集会、21p-P01 (pp.56-58)(2016.10.21-10.22、龍谷大学 響都ホール 校友会館).
水上 隆達、竹島 真治、山下 知徳、東 清一郎、“中空構造 SOI 層を用いた低温転写における転写歩留まり向上とフレキシブル基板上での単結晶シリコンTFT と論理回路の作製”、薄膜材料デバイス研究会 第13回研究集会、22a-O03 (pp. 120-123)(2016.10.21-10.22、龍谷大学 響都ホール 校友会館).
竹島 真治、水上 隆達、山下 知徳、花房 宏明、東 清一郎、“中空構造 SOI 層を用いたフレキシブル基板への転写技術におけるパターン微小化”、薄膜材料デバイス研究会 第13回研究集会、22p-P02 (pp.133-135)(2016.10.21-10.22、龍谷大学 響都ホール 校友会館).
[招待講演] 東 清一郎、「大気圧プラズマによるIV 族半導体薄膜の結晶成長と欠陥制御」、第77回応用物理学会秋季学術講演会 講演予稿集 14p-B7-4(2016.9.13-16、朱鷺メッセ).
稗田 竜己、新 良太、花房 宏明、東 清一郎、“フレキシブルガラス基板上アモルファスシリコン膜の熱プラズマジェット結晶化”、第77回応用物理学会秋季学術講演会 講演予稿集 14p-B7-6(2016.9.13-16、朱鷺メッセ).
水上 隆達、竹島 真治、山下 知徳、東 清一郎、“中空構造SOI 層を用いた低温転写における転写歩留まり向上とフレキシブル基板上での単結晶シリコンTFT とインバータ回路の作製”、第77回応用物理学会秋季学術講演会 講演予稿集 15a-B10-3(2016.9.13-16、朱鷺メッセ).
中島 涼介、新 良太、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェット照射によるシリコン薄膜の高速溶融結晶化”、第77回応用物理学会秋季学術講演会 講演予稿集 15a-B10-2(2016.9.13-16、朱鷺メッセ).
寺本 憲司、花房 宏明、東 清一郎、“交番磁場印加による大気圧熱プラズマジェットの走査と半導体基板の加熱”、 第77回応用物理学会秋季学術講演会 講演予稿集 15a-B7-8(2016.9.13-16、朱鷺メッセ).
寺本 憲司、花房 宏明、東 清一郎、“外部磁場印加による大気圧熱プラズマジェット噴出方向制御と半導体基板の加熱”、2016年度 応用物理・物理系学会中国四国支部 合同学術講演会(Aa-10)P.21.(2016.7.31、岡山大学 津島キャンパス).
寺本 憲司、花房 宏明、東 清一郎、“外部磁場による大気圧熱プラズマジェット噴出方向制御”、第35回電子材料シンポジウム(2016.7.6-7.8、ラフォーレ琵琶湖)pp. 227-228.
中谷 太一、原田 大夢、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化ゲルマニウム膜の電気特性評価及び高性能薄膜トランジスタの作製”、電子情報通信学会技術研究報告・シリコン材料・デバイス研究会[SDM] 信学技報116(1)pp. 35-38.(2016.4.8-4.9、沖縄県立博物館・美術館 博物館講座室).

2015年度

T. Nakatani, S. Morisaki, and S. Higashi, “Fabrication of Thin Film Transistors by Atmospheric Pressure Micro-Thermal-Plasma-Jet Irradiation on Amorphous Germanium Strips,” Proc. Int. Symp. Dry Process (DPS2015), (Awaji Island, Japan, Nov. 5-6, 2015), pp. 41-42.
R. Ishimaru, H. Hanafusa, K. Maruyama, S. Higashi, “Atmospheric Pressure Thermal-Plasma-Jet Oxidation of 4H-SiC,” 68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing (ICRP-9/GEC-68/SPP-33), (Honolulu, Hawaii, Oct.12-16, 2015). SF1.00005.
S. Morisaki, T. Nakatani, R. Shin, S. Higashi, “Atmospheric Pressure Micro-Thermal-Plasma-Jet Crystallization of Amorphous Silicon Strips for High-Performance Thin Film Transistor Fabrication,” 68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing (ICRP-9/GEC-68/SPP-33), (Honolulu, Hawaii, Oct.12-16, 2015). LW1.00178.
H. Hanafusa, K. Maruyama, R. Ishimaru, S. Higashi, “High Efficiency Activation of Phosphorus Atoms in 4H‐SiC by Atmospheric PressureThermal Plasma Jet Annealing,” 16th International Conference on Silicon Carbide and Related Materials (ICSCRM2015), (Giardini Naxos, Italy, Oct. 4 - 9, 2015).
M. Akazawa, S. Takeshima, A. Nakagawa, K. Hiramatsu and S. Higashi, “Formation of Single Crystalline Silicon with Midair Cavity for Meniscus Force-Mediated Local Layer Transfer and Fabrication of High-Performance MOSFETs on Insulator,” Ext. Abs. 2015 Int. Conf. Solid State Dev. Mat. (SSDM2015), (Sapporo, Japan, Sep. 27-30, 2015), PS-1-15.
S. Morisaki, S. Hayashi, S. Yamamoto, T. Kasahara, T. Nakatani, and S. Higashi, “In-situ Observation of Zone-Melting-Recrystallization in Amorphous Silicon Stripsduring Atmospheric Pressure Micro-Thermal-Plasma-Jet Irradiation,” 2015 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2015), (Jeju Island, Korea, Jun. 29- Jul. 1, 2015), P. 230.
[Invited] S. Higashi, “Silicon CMOS on glass and plastic - Crystallization and layer transfer approaches -,” Semiconductor Tech. Ultra Large Scale Integrated Circuits and Thin Film Transistors V, (Lake Tahoe, California, USA, Jun. 14-18, 2015).
Y. Wang, S. Morisaki, S. Hayashi, A. B. Limanov, A. Chitu, S. Higashi, and J. S. Im, “Melting and Solidification of Si Films Using Continuous Radiative and Non-Radiative Beams,” Abs. 2015 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 6-10, 2015), A20.06.
S. Morisaki, S. Hayashi, S. Yamamoto, T. Nakatani, and S. Higashi, “Micro-Thermal-Plasma-Jet Crystallization of Amorphous Silicon Strips and High-Sped Operation of CMOS Circuit,” Abs. 2015 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 6-10, 2015), A23.06.
原田 大夢、中谷 太一、新 良太、東 清一郎、“大気圧マイクロ熱プラズマジェットを用いたP+ドープa-Ge膜の結晶化及び活性化”、第63回応用物理学会春季学術講演会 講演予稿集 20p-S423-2(2016.3.19-22、東工大 大岡山キャンパス).
水上 隆達、中川 明俊、平松 和樹、竹島 真治、山下 知徳、東 清一郎、“中空構造SOI層を用いた低温転写技術におけるPET基板上高転写率の実現”、第63回応用物理学会春季学術講演会 講演予稿集 20p-S423-16(2016.3.19-22、東工大 大岡山キャンパス).
中島 涼介、花房 宏明、東 清一郎、“ハイパワー大気圧熱プラズマジェット照射によるシリコン薄膜の高速溶融結晶化と連続結晶成長”、第63回応用物理学会春季学術講演会 講演予稿集 20p-S423-4(2016.3.19-22、東工大 大岡山キャンパス).
[招待講演]東 清一郎,「熱プラズマジェット(TPJ)を用いた熱処理技術の開発と電子デバイスプロセスへの応用」 日本真空学会2015年11月研究例会 (2015. 11. 17、広島工業大学広島校舎).
花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射を用いたSiC中P不純物高効率活性化層の結晶性評価”、先進パワー半導体分科会 第2回講演会、P-48(pp.142-143)(2015.11.9-11.10、大阪国際交流センター).
中谷 太一、森崎 誠司、東 清一郎、“アモルファスゲルマニウム細線への大気圧マイクロ熱プラズマジェット照射により作製した薄膜トランジスタの特性評価” 薄膜材料デバイス研究会 第12回研究集会、30p-O04 (pp. 47-50)(2015.10.30-10.31、龍谷大学 響都ホール 校友会館).
新 良太、 森崎 誠司、東 清一郎、“プラズマ処理及び大気圧マイクロ熱プラズマジェット照射によるシリコン膜中への不純物ドーピング” 薄膜材料デバイス研究会 第12回研究集会、31p-P08 (pp. 152-154)(2015.10.30-10.31、龍谷大学 響都ホール 校友会館).
竹島 真治、酒池 耕平、赤澤 宗樹、中川 明俊、東 清一郎、“中空構造SOI層の低温転写におけるFTIR-ATRを用いたシリコン/PET界面の化学結合状態評価”、第76回応用物理学会秋季学術講演会 講演予稿集 13a-1C-7(2015.9.13-16、名古屋国際会議場).
赤澤 宗樹、東 清一郎、“メニスカス力を用いた局所転写のための中空構造単結晶シリコンの形成”、第76回応用物理学会秋季学術講演会 講演予稿集 13a-1C-8(2015.9.13-16、名古屋国際会議場).
新 良太、森崎 誠司、東 清一郎、“PH3プラズマ処理及び大気圧マイクロ熱プラズマジェット照射によるシリコン膜中への不純物ドーピング”、 第76回応用物理学会秋季学術講演会 講演予稿集 13a-1C-5(2015.9.13-16、名古屋国際会議場).
森崎 誠司、中谷 太一、新 良太、東 清一郎、“アモルファスシリコン細線の大気圧マイクロ熱プラズマジェット結晶化による粒内欠陥の低減”、第76回応用物理学会秋季学術講演会 講演予稿集 13a-1C-6(2015.9.13-16、名古屋国際会議場).
花房 宏明、石丸 凌輔、東 清一郎、“大気圧熱プラズマジェット照射による高温イオン注入した4H-SiC中Pイオンの高効率活性化”、第76回応用物理学会秋季学術講演会 講演予稿集 15p-1A-7(2015.9.13-16、名古屋国際会議場).
石丸 凌輔、花房 宏明、東 清一郎、“大気圧熱プラズマジェットを用いた4H-SiCの熱酸化”、第76回応用物理学会秋季学術講演会 講演予稿集 16a-1A-5(2015.9.13-16、名古屋国際会議場).
田丸 宏樹、村上 秀樹、東 清一郎、“Ge/Al2O3ゲートスタックにおける界面化学状態評価”、2015年度 応用物理・物理系学会中国四国支部 合同学術講演会(Bp-5)P.26.(2015.8.1、徳島大学 常三島キャンパス).
竹島 真治、酒池 耕平、赤澤 宗樹、東 清一郎、“中空構造SOI層の低温転写におけるシリコン/PET界面の化学結合状態評価”、2015年度 応用物理・物理系学会中国四国支部 合同学術講演会(Da-10)P.55.(2015.8.1、徳島大学 常三島キャンパス).
新 良太、森崎 誠司、中谷 太一、東 清一郎、“PH3プラズマ処理及び大気圧マイクロ熱プラズマジェット熱処理によるシリコン薄膜への不純物ドーピング”、 2015年度 応用物理・物理系学会中国四国支部 合同学術講演会(Da-5)P.50.(2015.8.1、徳島大学 常三島キャンパス).
森崎 誠司、林 将平、山本 将悟、中谷 太一、東 清一郎、“大気圧マイクロ熱プラズマジェット照射アモルファスシリコン細線による結晶成長制御およびCMOS回路の高速駆動”、電子情報通信学会技術研究報告・シリコン材料・デバイス研究会[SDM] 4月度研究会、 Vol.115  No.18  pp. 49-52 (2015.04 .29-04.30、大濱信泉記念館).

2014年度

M. Akazawa, K. Sakaike, S. Nakamura, S. Hayashi, S. Morisaki, and S. Higashi, “Fabrication of Single Crystalline Silicon Thin Film Transistor on Glass Substrate by Using Meniscus-Force-Mediated Local Layer Transfer Technique,” Ext. Abs. Int. Workshop Nanodevice Technologies 2015 (IWNT2015), (Higashi-Hiroshima, Japan, Mar. 3, 2015), pp. 54-55.
S. Morisaki, S. Hayashi, S. Yamamoto, T. Nakatani, and S. Higashi, “CMOS Integration Based on Zone Melting Recrystallization of Amorphous Silicon Strips Induced by Micro Thermal Plasma Jet Irradiation,” Ext. Abs. Int. Workshop Nanodevice Technologies 2015 (IWNT2015), (Higashi-hiroshima, Japan, Mar. 3, 2015), pp. 52-53.
K. Maruyama, H. Hanafusa, S. Hayashi, H. Murakami and S. Higashi, “High-Efficient Activation of Implanted Phosphorus-ion in 4H-SiC by Precise Control of Cooling Rate during Atmospheric Pressure Thermal Plasma Jet Annealing,” Ext. Abs. Int. Workshop Nanodevice Technologies 2015 (IWNT2015), (Higashi-hiroshima, Japan, Mar. 3, 2015), pp. 56-57.
H. Murakami, K. Hashimoto, A. Ohta, S. Higashi, and S. Miyazaki, “Characterization and Control of Interfacial Reaction of HfO2/Ge System with Ta Oxide Layer,” Ext. Abs. Int. Workshop Nanodevice Technologies 2015 (IWNT2015), (Higashi-hiroshima, Japan, Mar. 3, 2015), pp. 58-59.
K. Maruyama, H. Hanafusa, H. Murakami, S. Hayashi, and S. Higashi, “Precise Control of Cooling Rate and Efficient Activation of Phosphorus Atoms in 4H-SiC wafer,” Proc. Int. Symp. Dry Process (DPS2014), (Yokohama, Japan, Nov. 27-28, 2014), pp. 111-112.
K. Sakaike, M. Akazawa, A. Nakagawa, and S. Higashi, “Fabricating High-Performance Silicon Thin-Film Transistor by Meniscus Force Mediated Layer Transfer Technique,” 2014 ECS and SMEQ Joint Int. Meeting (Cancun, Mexico, Oct. 5-10, 2014), #1928.
S. Morisaki, S. Hayashi, S. Yamamoto, T. Nakatani, and S. Higashi, “Effect of Grain Growth Control by Atmospheric Micro-Thermal- Plasma-Jet Crystallization of Amorphous Silicon Strips on TFT Characteristics,” 2014 ECS and SMEQ Joint Int. Meeting (Cancun, Mexico, Oct. 5-10, 2014), #1908.
H. Hanafusa, K. Maruyama, S. Hayashi, S. Higashi, “Estimation of Phosphorus-implanted 4H-SiC Layer Activation by EBSD pattern analysis,” 10th European Conf. Silicon Carbide and Related Materials (ECSCRM2014), (Grenoble, France, Sept. 21-25, 2014), WE-P-43.
S. Yamamoto, S. Morisaki, S. Hayashi, T. Nakatani, and S. Higashi, “Grain Growth Control by Micro-Thermal-Plasma-Jet Irradiation to Very Narrow Amorphous Silicon Strips and Its Application to Thin Film Transistors,” Ext. Abs. 2014 Int. Conf. Solid State Dev. Mat. (SSDM2014), (Tsukuba, Japan, Sept. 8-11, 2014), pp. 562-563.
K. Sakaike, M. Akazawa, A. Nakagawa, and S. Higashi, “Fabrication of High Performance Single-Crystalline Silicon Thin Film Transistors on a Polyethylene Terephthalate Substrate,” Ext. Abs. 2014 Int. Conf. Solid State Dev. Mat. (SSDM2014), (Tsukuba, Japan, Sep. 8-11, 2014), pp. 28-29.
S. Hayashi, S. Morisaki, S. Yamamoto, T. Nakatani, and S. Higashi, “Grain Growth Induced by Micro-Thermal- Plasma-Jet Irradiation to Narrow Amorphous Silicon Strips,” Proc. AM-FPD14, (Kyoto, Japan, Jul. 2-4, 2014), pp. 181-184.
[Invited] S. Higashi, “Atmospheric Pressure Plasma Processing and Layer Transfer Technique for Thin-Film Device Fabrication on Glass and Plastic Substrates,” Ext. Abs. 2014 Int. Workshop Junction Tech. (IWJT-2014), (Shanghai, China, May. 18-20, 2014), pp. 169-173.
M. Akazawa, K. Makihara, T. Matsumoto, and S. Higashi, “Fabrication of High-Performance N-Channel Silicon MOSFET on Glass Substrate Using Meniscus Force,” Abs. 2014 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 21-25, 2014), A5.04.
丸山 佳祐、花房 宏明、林 将平、村上 秀樹、東 清一郎、“大気圧熱プラズマジェット照射急速熱処理の冷却速度制御による4H-SiC中Pの高効率活性化”、 第62回応用物理学会春季学術講演会 講演予稿集、14a-B4-4 (2015.3.11-14、東海大学 湘南キャンパス).
森崎 誠司、林 将平、山本 将悟、中谷 太一、笠原 拓也、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化によるa-Siパターン内結晶成長のその場観察”、第62回応用物理学会春季学術講演会 講演予稿集、 12a-A29-4 (2015.3.11-14、東海大学 湘南キャンパス).
林 将平、森崎 誠司、山本 将悟、中谷 太一、新 良太、東 清一郎、“マイクロ熱プラズマジェット照射によるSi結晶成長の初期膜依存性” 第62回応用物理学会春季学術講演会 講演予稿集、 12a-A29-3 (2015.3.11-14、東海大学 湘南キャンパス).
中谷 太一、林 将平、森崎 誠司、山本 将悟、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化Ge膜を用いたTFTオフ電流の結晶化速度依存性”、 第62回応用物理学会春季学術講演会 講演予稿集12a-A29-10(2015.3.11-14、東海大学 湘南キャンパス).
[注目講演] 酒池 耕平、中川 明俊、赤澤 宗樹、東 清一郎、“フレキシブル基板上での単結晶シリコンCMOSトランジスタの作製”、第62回応用物理学会春季学術講演会 講演予稿集 12a-A29-9(2015.3.11-14、東海大学 湘南キャンパス).
[招待講演]東 清一郎,「大気圧プラズマを用いた急速熱処理技術と半導体デバイスプロセスへの応用」, 平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 (I-1) (2015. 1. 24、広島大学 東広島キャンパス).
村上 秀樹、橋本 邦明、大田 晃生、東 清一郎、宮崎 誠一、“Ge MISFET実現のためのHfO2/Ge界面へのTaOx層挿入による界面反応制御と物性評価”、平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 P4 (2015. 1. 24、広島大学 東広島キャンパス).
浜田 慎也、村上 秀樹、小野 貴寛、大田 晃生、花房 宏明、東 清一郎、宮崎誠一、“Ge中のn型不純物高効率活性化”、平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 P8 (2015. 1. 24、広島大学 東広島キャンパス).
中川 明俊、酒池 耕平、赤澤 宗樹、平松 和樹、東 清一郎、“プラスチック基板上への単結晶シリコン層の転写と薄膜トランジスタ応用”、平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 P9 (2015. 1. 24、広島大学 東広島キャンパス).
森崎 誠司、林 将平、山本 将悟、中谷 太一、笠原 拓也、新 良太、東 清一郎、“アモルファスシリコン細線を用いた大気圧マイクロ熱プラズマジェット結晶成長制御および薄膜トランジスタ応用”、平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 P11 (2015. 1. 24、広島大学 東広島キャンパス).
花房 宏明、丸山 圭祐、林 将平、東 清一郎、“電子線後方散乱法を用いたリン注入4H-SiCの結晶性評価”、平成26年度第4回半導体エレクトロニクス部門委員会 第1回講演会・見学会 講演予稿集 P20 (2015. 1. 24、広島大学 東広島キャンパス).
赤澤 宗樹、酒池 耕平、中村 将吾、平松 和樹、中川 明俊、東 清一郎、“メニスカス力局所転写技術を利用したガラス基板上単結晶シリコン薄膜トランジスタの作製”、薄膜材料デバイス研究会 第11回研究集会、31R02 (pp. 144-146)(2014.10.31-11.1、龍谷大学 響都ホール 校友会館).
中川 明俊、酒池 耕平、赤澤 宗樹、平松 和樹、東 清一郎、“圧力制御によるフレキシブル基板上への中空構造SOI層の転写”、薄膜材料デバイス研究会 第11回研究集会、01P18 (pp. 195-196)(2014.10.31-11.1、龍谷大学 響都ホール 校友会館).
森崎 誠司、林 将平、山本 将悟、中谷 太一、東 清一郎、“a-Siパターンを用いた大気圧マイクロ熱プラズマジェット結晶成長の制御による薄膜トランジスタの特性ばらつき評価”、薄膜材料デバイス研究会 第11回研究集会、31P23 (pp. 130-133)(2014.10.31-11.1、龍谷大学 響都ホール 校友会館).
丸山 佳祐、花房 宏明、村上 秀樹、林 将平、東 清一郎、“大気圧熱プラズマジェット照射急速熱処理中の冷却速度制御と4H-SiC中不純物活性”、薄膜材料デバイス研究会 第11回研究集会、31P21 (pp. 128-129)(2014.10.31-11.1、龍谷大学 響都ホール 校友会館).
石丸 凌輔、花房 宏明、丸山 佳祐、廣松 志隆、林 将平、東 清一郎、“大気圧熱プラズマジェット照射による4H-SiC上SiO2堆積膜の急速熱処理”、薄膜材料デバイス研究会 第11回研究集会、01P22 (pp. 201-202)(2014.10.31-11.1、龍谷大学 響都ホール 校友会館).
林 将平、森崎 誠司、山本 将悟、中谷 太一、東 清一郎、“大気圧マイクロ熱プラズマジェット照射による結晶シリコン成長メカニズムの解明”、第6回薄膜太陽電池セミナー2014、P11(2014.10.15-16、広島大学 霞キャンパス).
山本 将悟,森崎 誠司, 林 将平, 中谷 太一, 東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化Si細線の電気特性評価”、第6回薄膜太陽電池セミナー2014、P12(2014.10.15-16、広島大学 霞キャンパス).
中谷 太一、林 将平、森崎 誠司、上倉 敬弘、山本 将悟、東 清一郎、“大気圧マイクロ熱プラズジェット照射による結晶化Ge細線の電気特性評価”、第6回薄膜太陽電池セミナー2014、P13(2014.10.15-16、広島大学 霞キャンパス).
森崎 誠司、林 将平,、山本 将悟、東 清一郎、“プラズマジェット結晶成長制御による短チャネル薄膜トランジスタの特性ばらつき評価”、第6回薄膜太陽電池セミナー2014、P16(2014.10.15-16、広島大学 霞キャンパス).
石丸 凌輔、花房 宏明、丸山 佳祐、廣松 志隆、林 将平、東 清一郎、“大気圧熱プラズマジェット照射急速熱処理による4H -SiC上SiO2堆積膜の改善”、第6回薄膜太陽電池セミナー2014、P17(2014.10.15-16、広島大学 霞キャンパス).
赤澤 宗樹、酒池 耕平、中村 将吾、平松 和樹、中川 明俊、東 清一郎、“メニスカス力転写技術を利用した熱酸化された単結晶シリコン薄膜のガラス基板上への形成”、第6回薄膜太陽電池セミナー2014、P31(2014.10.15-16、広島大学 霞キャンパス).
中川 明俊、酒池 耕平、赤澤 宗樹、平松 和樹、東 清一郎、“圧力制御による中空構造SOI層の転写と薄膜 トランジスタ応用”、第6回薄膜太陽電池セミナー2014、P32(2014.10.15-16、広島大学 霞キャンパス).
酒池 耕平、中村 将吾、赤澤 宗樹、中川 明俊、東 清一郎、“メニスカス力を用いた中空構造 SOI 層の大面積転写とフレキシブル薄膜太陽電池応用”、第6回薄膜太陽電池セミナー2014、P33(2014.10.15-16、広島大学 霞キャンパス).
酒池 耕平、赤澤 宗樹、小林 義崇、中村 将吾、東 清一郎、“メニスカス力を用いた中空構造SOI 層の低温転写とフレキシブル基板上での単結晶シリコンTFT の作製”、第75回 応用物理学会秋季学術講演会 講演予稿集 20a-A25-6(2014.9.17-20、北海道大学 札幌キャンパス).
丸山 佳祐、花房 宏明、村上 秀樹、林 将平、東 清一郎、“大気圧熱プラズマジェット照射急速熱処における4H-SiC 中の不純物活性化と冷却速度制御”、第75回 応用物理学会秋季学術講演会 講演予稿集 19a-PB5-6(2014.9.17-20、北海道大学 札幌キャンパス).
花房 宏明、丸山 佳祐、林 将平、東 清一朗、“EBSD パターン明瞭度を用いたリン注入4H-SiC 層の結晶性評価”、 第75回 応用物理学会秋季学術講演会 講演予稿集 19a-PB5-5(2014.9.17-20、北海道大学 札幌キャンパス).
石丸 凌輔、花房 宏明、丸山 佳祐、廣松 志隆、林 将平、東 清一郎、“大気圧熱プラズマジェット照射による4H-SiC 上SiO2堆積膜の改善”、第75回 応用物理学会秋季学術講演会 講演予稿集 19a-A19-8(2014.9.17-20、北海道大学 札幌キャンパス).
中谷 太一、林 将平、森崎 誠司、上倉 敬弘、山本 将悟、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化Ge 膜を用いたTFT の電気特性評価”、第75回 応用物理学会秋季学術講演会 講演予稿集 19a-A19-8(2014.9.17-20、北海道大学 札幌キャンパス).
山本 将悟、森崎 誠司、林 将平、中谷 太一、東 清一郎、“マイクロ熱プラズマジェット結晶化によるSi 極細線の電気特性評価及び薄膜トランジスタ応用”、第75回 応用物理学会学術講演会 講演予稿集 19a-A19-7(2014.9.17-20、北海道大学 札幌キャンパス).
東 清一郎、林 将平、森崎 誠司、赤澤 宗樹、酒池 耕平、“大気圧熱プラズマ結晶化および単結晶薄膜転写によるガラスおよびプラスティック上の高性能薄膜トランジスタ作製”、第75回 応用物理学会秋季学術講演会 講演予稿集 17p-A19-3(2014.9.17-20、北海道大学 札幌キャンパス).
[招待講演] 東 清一郎 半導体薄膜の結晶成長とデバイス応用」第59回物性若手夏の学校 (2014.8.1.  浜名湖ロイヤルホテル).
廣松 志隆、花房 宏明、丸山 佳祐、石丸 凌輔、東 清一郎、“Si 挿入層の不純物濃度に依存した4H-SiC のコンタクト特性”、2014年度 応用物理・物理系学会中国四国支部 合同学術講演会(Da-6)P.59.(2014.7.26、島根大学).
浜田 慎也、村上 秀樹、小野 貴寛、大田 晃生、花房 宏明、東 清一郎、宮崎 誠一、“アモルファス化促進によるGe 中As の高効率活性化”、2014年度 応用物理・物理系学会中国四国支部 合同学術講演会(Da-7)P.60.(2014.7.26、島根大学).
浜田 慎也、村上 秀樹、小野 貴寛、橋本 邦明、大田 晃生、花房 宏明、東 清一郎、宮崎 誠一、“Ge基板中のAs高効率活性化と低抵抗浅接合形成”、電子情報通信学会技術研究報告、  信学技報114(88)pp. 27-30.(2014年6月19日、名古屋大学・ベンチャー・ビジネス・ラボラトリー).

2013年度

K. Tanaka, S. Hayashi, T. Kamikura, T. Mizuno, and S. Higashi, “Investigation on Crack Generation Mechanism and Reduction of Cracks Using Buffer Layer in Thermal-Plasma-Jet Crystallization of Amorphous Silicon Films on Glass Substrate,” 8th Int. Conf. Reactive Plasmas, 31st Symp. Plasma Processing (ICRP-8/SPP-31), (Fukuoka, Japan, Feb. 4-7, 2014), 7A-AM-O3.
S. Morisaki, S. Hayashi, T. Kamikura, S. Yamamoto, and S. Higashi, “Fabrication of Short Channel Thin Film Transistors by Channel Doping and Micro Thermal Plasma Jet Crystallization and Their Application to High Frequency Operation of CMOS Circuits,”Abs.10th Int. Thin-Film Transistor Conf. (ITC2014), (Delft, Netherlands, Jan. 23-24, 2014), p.15.
M. Akazawa, K. Sakaike, S. Nakamura, and S. Higashi, “Local Transfer of Single-Crystalline Silicon (100) Layer by Meniscus Force and Its Application to High-Performance MOSFET Fabrication on Glass Substrate,” 2013 IEEE Int. Electron Dev. Meeting Tech. dig., (IEDM2013), (Washington, USA, Dec. 9-11, 2013), pp.308-311.
[Invited] S. Higashi, K. Sakaike, S. Hayashi, S. Morisaki, M. Akazawa, S. Nakamura, and T. Fukunaga, “Low-Temperature Formation of Single-Crystalline Silicon on Glass and Plastic Substrates and Its Application to MOSFET Fabrication,” Proc. 20th Int. Display Workshops (IDW’13), (Sapporo, Japan, Dec. 4-6, 2013), AMD1-3, pp. 258-261.
T. Ono, K. Hashimoto, A. Ohta, H. Murakami, H. Hanafusa, S. Higashi, and S. Miyazaki, “Study on As+ Ion Implantation into Ge at Different Substrate Temperatures,” Ext. Abs. 2013 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2013), (Tokyo, Japan, Nov. 7-9, 2013), S6-3 pp. 127-128.
K. Hashimoto, T. Ono, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Impact of Post-Metallization Annealing on Chemical Bonding Features in Ge-MIS Structure with HfO2/TaGexOy Stack,” Ext. Abs. 20013 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2013), (Tokyo, Japan, Nov. 7-9, 2013), pp. 49-50.
S. Nakamura, K. Sakaike, M. Akazawa, T. Fukunaga, S. Hayashi, and S. Higashi, “Large Area Transfer of Silicon Films with Midair Cavity Using Meniscus Force,” Tech. Dig. 23rd Int. Photovoltaic Sci. Eng. Conf. (PVSEC-23), (Taipei, Taiwan, Oct. 28- Nov. 1, 2013), 1-O-33.
A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi, and S. Miyazaki, “Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-Electrode Mim Diodes,” 224th Electrochem. Soc. (ECS) Meeting (San Francisco, USA, Oct. 27-Nov.1, 2013).
H. Hanafusa, A. Ohta, R. Ashihara, K. Maruyama, T. Mizuno, S. Hayashi, H. Murakami, and S. Higashi, “Contact Property of 4H-SiC with Phosphorus-Doped and Crystallized Amorphous-Silicon Insertion Layer,” Tech. Dig. Int. Conf. Silicon Carbide and Related Mat. 2013 (ICSCRM2013), (Miyazaki, Japan, Sept. 29-Oct. 4, 2013), p. 22.
H. Hanafusa, R. Ashihara, K. Maruyama, S. Koyanagi, S. Hayashi, H. Murakami, and S. Higashi, “As and Al Activation in SiC Wafer by Atmospheric Thermal Plasma Jet Annealing,” Ext. Abs. 2013 Int. Conf. Solid State Dev. Mat. (SSDM 2013), (Fukuoka, Japan, Sept. 24-27, 2013), pp. 472-473.
S. Hayashi, S. Morisaki, T. Kamikura, S. Yamamoto, K. Sakaike, M. Akazawa, and S. Higashi, “Grain Growth Control during Leading Wave Crystallization Induced by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films,” Proc. Int. Symp. Dry Process (DPS2013), (Jeju, Korea, Aug. 29-30, 2013), pp. 43-44.
K. Maruyama, H. Hanafusa, R. Ashihara, S. Koyanagi, S. Hayashi, H. Murakami, and S. Higashi, “Atmospheric Pressure Thermal Plasma Jet annealing for 4H-SiC and Aluminium Activation,” Proc. Int. Symp. Dry Process (DPS2013), (Jeju, Korea, Aug. 29-30, 2013), pp. 109-110.
K. Sakaike, Y. Kobayashi, S. Nakamura, M. Akazawa, S. Hayashi, S. Morisaki, M. Ikeda, and S. Higashi, “Local Area Transfer and Simultaneous Crystallization of Amorphous Si Films with Midair Structure Induced by Near-Infrared Semiconductor Diode Laser Irradiation,” Abs. 25th Int. Conf. Amorphous and Nano-crystalline Semiconductors (ICANS25), (Toronto, Canada, Aug. 18-23, 2013), p. 40.
S. Hayashi, Y. Fujita, S. Morisaki, T. Kamikura, S. Yamamoto, M. Akazawa, and S. Higashi, “Grain Growth Control by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Strips through Slit Masks and Its Application to High-Performance Thin-Film Transistors,” Proc. AM-FPD13, (Kyoto, Japan, Jul. 2-5, 2013), pp. 113-116.
[Invited] S. Higashi, S. Hayashi, S. Morisaki, and Y. Fujita, “Growth Control of Crystalline Silicon during Microsecond Phase Transformation and Its Application to Thin-Film Transistor Fabrication,” 2013 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2013), (Seoul, Korea, Jun. 26- 28, 2013), pp. 265-269.
T. Kamikura, S. Hayashi, S. Morisaki, Y. Fujita, M. Akazawa, and S. Higashi, “Explosive Crystallization of Amorphous Germanium Films Induced by Atmospheric Pressure Micro-Thermal-Plasma-Jet Irradiation,” 8th Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-8), 6th Int. Symp. Control of Semiconductor Interfaces, (ISCSI-VI), (Fukuoka, Japan, Jun. 2-6, 2013), pp. 157 – 158.
A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Determination of Bandgap Energy of Thermally-Grown Si- and Ge- Oxides from Energy Loss Spectra of Photoelectrons,” 8th Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-8), 6th   Int. Symp. Control of Semiconductor Interfaces (ISCSI-VI), (Fukuoka, Japan, Jun. 2-6, 2013), pp. 273 – 274.
K. Sakaike, Y. Kobayashi, S. Nakamura, S. Hayashi, M. Akazawa, S. Morisaki, and S. Higashi, “Development of Silicon Layer Transfer Technique Using Mid-air Structure for Thin Film Transistor Fabrication,” Abs. 2013 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 1-5, 2013), A19.05.
[注目講演] 酒池 耕平、赤澤 宗樹、中村 将吾、東 清一郎、“フレキシブル基板上での単結晶シリコンMOSFETの作製”、第61回応用物理学会春季学術講演会 講演予稿集 19a-D2-11(2014.3.17-20、青山学院大学 相模原キャンパス).
中村 将吾、酒池 耕平、赤澤 宗樹、東 清一郎、“メニスカス力による大面積転写技術を用いて形成されたPET基板上単結晶シリコン膜の密着性改善”、第61回応用物理学会春季学術講演会 講演予稿集 19a-D2-10(2014.3.17-20、青山学院大学 相模原キャンパス).
赤澤 宗樹、酒池 耕平、中村 将吾、東 清一郎、“メニスカス力を用いた局所転写によるガラス基板上高性能nチャネルSi MOSFETの作製”、第61回応用物理学会春季学術講演会 講演予稿集 19a-E14-8(2014.3.17-20、青山学院大学 相模原キャンパス).
田中 敬介、林 将平、東 清一郎、“熱プラズマジェット照射ミリ秒熱処理における表面緻密化モデルを用いたガラス基板の反り解析”、第61回応用物理学会春季学術講演会 講演予稿集 19a-E14-6(2014.3.17-20、青山学院大学 相模原キャンパス).
森崎 誠司、林 将平、上倉 敬弘、山本 将悟、山根 雅人、中谷 太一、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化による従来構造および細線構造TFTの特性評価”、第61回応用物理学会春季学術講演会 講演予稿集 19a-E14-7(2014.3.17-20、青山学院大学 相模原キャンパス).
林 将平、森崎 誠司、上倉 敬弘、山本 将悟、中谷 太一、東 清一郎、“a-Si細線のマイクロ熱プラズマジェット結晶化における結晶成長観察”、第61回応用物理学会春季学術講演会 講演予稿集 19p-PG3-18(2014.3.17-20、青山学院大学 相模原キャンパス).
橋本 邦明、大田 晃生、村上 秀樹、小野 貴寛、東 清一郎、宮崎 誠一、 “HfO2/TaGexOy絶縁膜を用いたGe-MISキャパシタにおける熱処理効果”、  ゲートスタック研究会 第19回研究会 材料・プロセス・評価の物理、pp. 139 – 142. (2014.1.24-1.25、ニューウェルシティー湯河原).
小野 貴寛、村上 秀樹、大田 晃生、東 清一郎、宮崎 誠一、“Ge(100)基板への低温As+イオン注入による低抵抗浅接合形成”、 ゲートスタック研究会 第19回研究会 材料・プロセス・評価の物理、pp. 183 – 186.  (2014.1.24-1.25、ニューウェルシティー湯河原).
中村 将吾、酒池 耕平、赤澤 宗樹、東 清一郎、“メニスカス力による中空構造SOI層の低温大面積転写”、第5回薄膜太陽電池セミナー、P1-5(2013.11.14-11.15、名古屋大学 野依記念学術交流館).
水野 翼、林 将平、池田 弥央、花房 宏明、東 清一郎、“低温堆積SiNx/SiO2積層膜を用いた結晶シリコン表面パッシベーションによる少数キャリアライフタイムの向上”、 第5回薄膜太陽電池セミナー、P1-6(2013.11.14-11.15、名古屋大学 野依記念学術交流館).
赤澤 宗樹、酒池 耕平、中村 将吾、東 清一郎、“メニスカス力を利用した熱酸化された中空構造SOI層の局所転写”、薄膜材料デバイス研究会 第10回研究集会、02P05 (pp. 194-196)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
中村 将吾、 酒池 耕平、赤澤 宗樹、東 清一郎、“メニスカス力を利用した大面積中空構造SOI層の低温転写”、薄膜材料デバイス研究会 第10回研究集会、02P06 (pp. 197-199)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
酒池 耕平、赤澤 宗樹、中村 将吾、東 清一郎、“メニスカス力を利用した中空構造シリコン膜の低温局所転写”、薄膜材料デバイス研究会 第10回研究集会、02P07 (pp. 200-203)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
田中 敬介、林 将平、上倉 敬弘、水野 翼、東 清一郎、“ガラス基板上a-Si膜の急速熱処理結晶化における中間緩衝層が残留応力に及ぼす影響”、薄膜材料デバイス研究会 第10回研究集会、02P04 (pp. 191-193)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
上倉 敬弘、林 将平、森崎 誠司、山本 将悟、赤澤 宗樹、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Ge膜のLeading Wave Crystallization及び高速横方向結晶化”、薄膜材料デバイス研究会 第10回研究集会、02P02 (pp. 183-186)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
山本 将悟、林 将平、森崎 誠司、上倉 敬弘、東 清一郎、“ナノメートル幅アモルファスシリコン細線を用いたマイクロ熱プラズマジェット結晶化における結晶粒界制御”、薄膜材料デバイス研究会 第10回研究集会、02P09 (pp. 208-211)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
林 将平、森崎 誠司、上倉 敬弘、山本 将悟、酒池 耕平、赤澤 宗樹、東 清一郎、“大気圧マイクロ熱プラズマジェット照射による異なる結晶化Si膜の結晶構造及びTFT電気特性の調査”、薄膜材料デバイス研究会 第10回研究集会、02P10 (pp. 212-215)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
森崎 誠司、林 将平、上倉 敬弘、山本 将悟、酒池 耕平、赤澤 宗樹、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化Si膜を用いた薄膜トランジスタの微細化およびCMOS回路の高速駆動”、薄膜材料デバイス研究会 第10回研究集会、31R11 (pp. 64-67)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
丸山 佳祐、花房 宏明、村上 秀樹、林 将平、東 清一郎、“大気圧熱プラズマジェット照射急速熱処理による4H-SiC中Al活性化”、薄膜材料デバイス研究会 第10回研究集会、01P08 (pp. 137-138)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
水野 翼、林 将平、池田 弥央、花房 宏明、東 清一郎、“リモート誘導結合型プラズマ化学気相堆積法を用いた低温堆積SiNx/SiO2積層膜による結晶シリコン表面パッシベーション”、 薄膜材料デバイス研究会 第10回研究集会、31P03 (pp. 33-35)(2013.10.31-11.2、龍谷大学 アバンティ響都(きょうと)ホール).
丸山 佳祐、花房 宏明、村上 秀樹、林 将平、東 清一郎、“4H-SiCへの大気圧熱プラズマジェット照射によるAlの活性化”、第74回応用物理学会秋季学術講演会 講演予稿集 17a-B3-3(2013.9.16-20、同志社大学 京田辺キャンパス).
中村 将吾、酒池 耕平、赤澤 宗樹、福永 貴司、林 将平、東 清一郎、“メニスカス力による中空構造シリコン膜の大面積転写 ”、第74回応用物理学会秋季学術講演会 講演予稿集18a-A4-3 (2013.9.16-20、同志社大学 京田辺キャンパス).
福永 貴司、酒池 耕平、中村 将吾、赤澤 宗樹、東 清一郎、“メニスカス力を利用した中空構造微小Si膜の局所転写 ”、第74回応用物理学会秋季学術講演会 講演予稿集 18a-A4-4 (2013.9.16-20、同志社大学 京田辺キャンパス).
林 将平、森崎 誠司、上倉 敬弘、山本 将悟、酒池 耕平、赤澤 宗樹、東 清一郎、“マイクロ熱プラズマジェット照射によるa-Si膜のLeading Wave Crystallizationにおける結晶位置制御及びTFTの電気特性評価”、第74回応用物理学会秋季学術講演会 講演予稿集19p-B4-1(2013.9.16-20、同志社大学 京田辺キャンパス).
森崎 誠司、林 将平、上倉 敬弘、山本 将悟、赤澤 宗樹、酒池 耕平、東 清一郎、“大気圧マイクロ熱プラズマジェット結晶化を用いた微細薄膜トランジスタの特性評価”、第74回応用物理学会秋季学術講演会 講演予稿集19p-B4-2(2013.9.16-20、同志社大学 京田辺キャンパス).
酒池 耕平、中村 将吾、赤澤 宗樹、福永 貴司、森崎 誠司、林 将平、東 清一郎、“メニスカス力を利用した中空構造シリコン膜の低温転写 ”、第74回応用物理学会秋季学術講演会 講演予稿集19p-B4-11(2013.9.16-20、同志社大学 京田辺キャンパス).
赤澤 宗樹、酒池 耕平、中村 将吾、福永 貴司、林 将平、森崎 誠司、東 清一郎、“メニスカス力による熱酸化された中空構造シリコン膜の局所転写”、第74回応用物理学会秋季学術講演会 講演予稿集19p-B4-12(2013.9.16-20、同志社大学 京田辺キャンパス).
田中 敬介,林 将平,上倉 敬弘,東 清一郎、“ガラス基板上a-Si膜の熱プラズマジェット結晶化における中間緩衝層によるクラック抑制メカニズムの調査”、第74回応用物理学会秋季学術講演会 講演予稿集19p-B4-3(2013.9.16-20、同志社大学 京田辺キャンパス).
小野 貴寛、大田 晃生、花房 宏明、村上 秀樹、東 清一郎、宮崎 誠一、“ゲルマニウムへの低温As+イオン注入による活性化率向上”、第74回応用物理学会秋季学術講演会 講演予稿集20p-B4-8(2013.9.16-20、同志社大学 京田辺キャンパス).
橋本 邦明、大田 晃生、村上 秀樹、東清一郎、宮崎 誠一、“HfO2/TaGexOyを用いたGe-MIS構造の熱処理による化学構造変化 ”、第74回応用物理学会秋季学術講演会 講演予稿集18p-P9-8(2013.9.16-20、同志社大学 京田辺キャンパス).
林 将平、藤田 悠二、森崎 誠司、上倉 敬弘、山本 将悟、東 清一郎、“マイクロ熱プラズマジェット結晶化SiTFTにおけるチャネル結晶状態及び電気特性評価 ”、第74回応用物理学会秋季学術講演会 講演予稿集19a-P5-7(2013.9.16-20、同志社大学 京田辺キャンパス).
上倉 敬弘、林 将平、森崎 誠司、山本 将悟、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Ge膜の高速横方向結晶化 ”、第74回応用物理学会学術講演会 講演予稿集19p-B4-7(2013.9.16-20、同志社大学 京田辺キャンパス).
大田 晃生、福嶋 太紀、牧原 克典、村上 秀樹、東 清一郎、宮崎 誠一、“SiOx/TiO2積層したMIMダイオードにおける抵抗変化特性評価”、電子情報通信学会技術研究報告、113(87)pp. 61-66. (2013.6.18、機械振興会館).

2012年度

S. Morisaki, S. Hayashi, Y. Fujita, and S. Higashi, “Improvement in Characteristic Variability of Thin Film Transistors Using Grain Growth Control by Micro Thermal Plasma Jet Irradiation to Amorphous Silicon Strips and CMOS Circuit Operation at Supply Voltage of 5V,” 9th Int. Thin-Film Transistor Conf. 2013 (ITC2013), (Tokyo, Japan, Mar. 1-2, 2013), 2pAO05. 
K. Makihara, J. Gao, D. Takeuchi, K. Sakaike, S. Hayashi, M. Ikeda, S. Higashi, and S. Miyazaki, “Highly-crystallized Ge:H film growth from GeH4 very high frequency inductively-coupled plasma -Crystalline nucleation initiated by Ninanodots,” 5th Int. Symp. Advanced plasma Sci. and its Applications Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Japan, Jan. 28-Feb. 1, 2013), p. 132.
A. Ohta, M. Fukusima, K. Makihara, S. Higashi, and S. Miyazaki, “Resistive switching behaviors of Si-rich oxide with Ti-based electrodes,” 5th Int. Symp.  Advanced plasma Sci. and its Applications Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Japan, Jan. 28- Feb. 1, 2013), p.113.
S. Morisaki, S. Hayashi, Y. Fujita, and S. Higashi, “Grain Growth Control by Micro Thermal Plasma Jet Irradiation to Amorphous Silicon Strips and Improvement in Characteristic Variability of Thin Film Transistors,” Proc. 30th Symp. Plasma Processing (SPP-30), (Hamamatsu, Japan, Jun. 21-23, 2013), pp. 99-100.
R. Ashihara, H. Hanafusa, H. Murakami, S. Hayashi, and S. Higashi, “Rapid Thermal Annealing of SiC Wafer by Atmospheric Pressure Thermal Plasma Jet Irradiration,” Proc. Int. Symp. Dry Process (DPS2012), (Tokyo, Japan, Nov. 15-16, 2012), pp. 147-148.
K. Sakaike, Y. Kobayashi, S. Nakamura, S. Hayashi, M. Akazawa, and S. Higashi, “Layer Transfer and Simultaneous Crystallization of Amorphous Si Films with Mid-Air Structure Induced by Near-Infrared Semiconductor Diode Laser Irradiation and Its Application to Thin-Film Transistor Fabrication,” Proc.  Int. Symp. Dry Process (DPS2012), (Tokyo, Japan, Nov. 15-16, 2012), pp. 113-114.
S. Hayashi, Y. Fujita, T. Kamikura, K. Sakaike, M. Akazawa, and S. Higashi, “A Physical Model for Leading Wave Crystallization Induced by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films,” Proc. Int. Symp. Dry Process (DPS2012), (Tokyo, Japan, Nov. 15-16, 2012), pp. 23-24.
S. Nakamura, K. Sakaike, Y. Kobayashi, S. Hayashi, and S. Higashi, “Transfer of amorphous silicon films on mid-air structure to porous silicon and epitaxial growth induced by near-infrared semiconductor diode laser irradiation,” Abs. 22nd Int. Photovoltaic Sci. Eng. Conf. (PVSEC), (Hangzhou, China, Nov. 5-9, 2012), 2-O-26.
Y. Fujita, S. Hayashi, K. Sakaike, and S. Higashi, “Grain Growth Control during Micro-Thermal-Plasma-Jet Irradiation Using AmorphousSi Strips and Slit Masks,” Joint Int. Meeting 222nd Electrochem. Soc. (ECS) Meeting and 2012 Fall Meeting Electrochem. Soc. Jpn. (PRiME2012), (Honolulu, Hawaii, USA, Oct. 7-12, 2012), #3059.
K. Sakaike, Y. Kobayashi, S. Nakamura, M. Akazawa, and S. Higashi, “Investigation of Transfer Mechanism of Si Film with Mid-Air Structure Induced by Near-Infrared Semiconductor Diode Laser Irradiation,” Joint Int. Meeting 222nd Electrochem. Soc. (ECS) Meeting and 2012 Fall Meeting Electrochem. Soc. Jpn. (PRiME2012), (Honolulu, Hawaii, USA, Oct. 7-12, 2012), #3064.
A. Ohta, M. Matsui, H. Murakami, S. Higashi, and S. Miyazaki, “Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion,” Joint Int. Meeting 222nd Electrochem. Soc. (ECS) Meeting and 2012 Fall Meeting Electrochem. Soc. Jpn. (PRiME2012), (Honolulu, Hawaii, USA, Oct. 7-12, 2012), #3153.
T. Ono, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of As Implanted and Annealed Ge by Photoemission and Electrical Measurements,” Ext. Abs. 2012 Int. Conf. Solid State Dev. Mat. (SSDM2012), (Kyoto, Japan, Sept. 25-27, 2012), pp. 38-39.
A. Ohta, S. K. Sahari, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Dry Oxidation of Germanium (100) and (111) Surfaces - Impact of Oxidation Temperature on Ge Oxide Growth -,” Ext. Abs. 2012 Int. Conf. Solid State Dev. Mat. (SSDM2012), (Kyoto, Japan, Sept. 25-27, 2012), pp. 743-744.
S. Hayashi, Y. Fujita, T. Kamikura, K. Sakaike, M. Ikeda, H. Hanafusa, and S. Higashi, “Leading Wave Crystallization from Fast Moving Molten Zone Formed by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films,” Ext. Abs. 2012 Int. Conf. Solid State Dev. Mat. (SSDM2012), (Kyoto, Japan, Sept. 25-27, 2012), pp. 1047-1048.
A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100),” Abs. Int. Union of Mat. Res. Soc.-Int. Conf. Electronic Materials 2012 (IUMRS-ICEM2012), (Yokohama, Japan, Sept. 23-28, 2012), B-1-O27-011.
H. Murakami, Y. Ono, A. Ohta, S. Higashi, and S. Miyazaki, “Photoemission Study of GeO2/Ge Structure Formed by Thermal Oxidation,” Abs. Int. Union Mat. Res. Soc.-Int. Conf. Electronic Materials 2012 (IUMRS-ICEM2012), (Yokohama, Japan, Sept. 23-28, 2012), B-1-O28-009.
S. Hayashi, R. Matsubara, Y. Fujita, M. Ikeda, K. Sakaike, and S. Higashi, “Control of Crystal Growth Orientation by Micro-Thermal-Plasma-Jet Induced Melting and Solidification of Silicon Films on Porous Silicon Underlayer,” Proc. AM-FPD12, (Kyoto, Japan, Jul. 4-6, 2012), pp. 219-222.
M. Akazawa, Y. Zhou, K. Sakaike, S. Hayashi, H. Hanafusa, and S. Higashi, “Crystallization of Amorphous Silicon Films by High-Frequency Tapping of Molten Silicon Using PiezoActuator,” Proc. AM-FPD12, (Kyoto, Japan, Jul. 4-6, 2012), pp. 215-218.
S. Koyanagi, S. Hayashi, T. Mizuno, K. Sakaike, H. Hanafusa, and S. Higashi, “Improvement of Low-Temperature-Deposited SiO2 and Si/SiO2 interface Properties by Thermal-Plasma-Jet Annealing and Heat Treatment in High-Pressure H2O Vapor,” Dig. 2012 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2012), (Naha, Japan, Jun. 27–29, 2012), pp. 95-98.
A. Ohta, K. Makihara, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior,” Dig. 2012 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2012), (Naha, Japan, Jun. 27–29, 2012), pp. 186-191.
K. Hashimoto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer,” Dig. 2012 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2012), (Naha, Japan, Jun. 27–29, 2012), pp. 219-224.
[Invited] S. Higashi, “Application of Atmospheric Pressure Micro-Thermal-Plasma-Jet to Ultra Rapid Thermal Annealing for Semiconductor Device Fabrication,” Ext. Abs. 2012 Int. Workshop Junction Tech. (IWJT-2012), (Shanghai, China, May. 14-15, 2012), pp. 206-209.
Y. Kobayashi, K. Sakaike, M. Ikeda, and S. Higashi, “Activation of Phosphorus Atoms in Amorphous Si Films and Simultaneous Layer Transfer Technique by Near-infrared Semiconductor Diode Laser Irradiation,” Abs. 2012 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 9-13, 2012), A6.9.
[Invited] S. Higashi, “Rapid Thermal Annealing by Atmospheric Pressure Micro-thermal–Plasma–jet and Its Application to Thin FilmTransistor Fabrication –Crystallization Doping, and Reliability Improvement,” Abs. 2012 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 9-13, 2012), A9.1.
盧 義敏、高 金、牧原 克典、酒池 耕平、藤田 悠二、池田 弥央、大田 晃生、東 清一郎、宮崎 誠一、“Ni ナノドットによる初期核発生制御を活用した高結晶性Si:H/Ge:Hヘテロ構造の低温堆積”、 第60回応用物理学会春季学術講演会, 28a-A3-9(2013.3.27-30、神奈川工科大学).
小林 義崇、酒池 耕平、中村 将吾、赤澤 宗樹、東 清一郎、“近赤外半導体レーザ光照射によるPドープSi膜の局所転写と不純物活性化”、第60回応用物理学会春季学術講演会 講演予稿集 28a-G6-8(2013.3.27-30、神奈川工科大学).
小野 貴寛、大田 晃生、花房 宏明、村上 秀樹、東 清一郎、宮崎 誠一、“As+イオン注入したゲルマニウム層の化学分析 -イオン注入温度依存性- ”、第60回応用物理学会春季学術講演会講演予稿集 28p-G6-8 (2013.3.27-30、神奈川工科大学).
上倉 敬弘、林 将平、森崎 誠司、藤田 悠二、赤澤 宗樹、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Ge膜のLeading Wave Crystallization”、第60回応用物理学会春季学術講演会 講演予稿集 28p-G6-11(2013.3.27-30、神奈川工科大学).
藤田 悠二、林 将平、森崎 誠司、上倉 敬弘、山本 将悟、東 清一郎、“マイクロ熱プラズマジェット照射高速横方向結晶化におけるa-Si細線及びスリットマスクを用いた結晶位置制御”、第60回応用物理学会春季学術講演会 講演予稿集 28p-G6-12(2013.3.27-30、神奈川工科大学).
山本 将悟、藤田 悠二、林 将平、森崎 誠司、上倉 敬弘、赤澤 宗樹、村上 秀樹、東 清一郎、“ナノメートル幅アモルファスシリコン細線を用いたマイクロ熱プラズマジェット照射高速横方向結晶化における結晶成長制御 ”、第60回応用物理学会春季学術講演会 講演予稿集 28p-G6-13 (2013.3.27-30、神奈川工科大学).
林 将平、藤田 悠二、森崎 誠司、上倉 敬弘、赤澤 宗樹、酒池 耕平、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Si膜の超高速結晶成長”、第60回応用物理学会春季学術講演会 講演予稿集 29p-B8-7 (2013.3.27-30、神奈川工科大学).
森崎 誠司、林 将平、藤田 悠二、東 清一郎、“a-Si細線を用いた大気圧マイクロプラズマジェット結晶成長制御によるTFT特性ばらつきの改善および5V電源電圧でのCMOS回路動作 ”、第60回応用物理学会春季学術講演会 講演予稿集28p-G6-14(2013.3.27-30、神奈川工科大学).
[注目講演] 酒池 耕平、小林 義崇、中村 将吾、林 将平、赤澤 宗樹、森崎 誠司、東 清一郎、“近赤外半導体レーザ光照射による中空構造a-Si膜の局所転写同時結晶化”、第60回応用物理学会春季学術講演会 講演予稿集 29a-A3-5 (2013.3.27-30、神奈川工科大学).
芦原 龍平、花房 宏明、村上 秀樹、林 将平、小柳 俊貴、丸山 佳祐、東 清一郎、“大気圧熱プラズマジェット照射によるSiCウェハ中不純物の短時間活性化”、第60回応用物理学会春季学術講演会 講演予稿集 29p-PB4-11 (2013.3.27-30、神奈川工科大学).
花房 宏明、芦原 龍平、丸山 佳祐、水野 翼、林 将平、村上 秀樹、東 清一郎、“P添加アモルファスSi結晶化層を介した金属とSiCのコンタクト特性 ”、第60回応用物理学会春季学術講演会 講演予稿集 29p-PB4-18 (2013.3.27-30、神奈川工科大学).
小柳 俊貴、林 将平、水野 翼、池田 弥央、花房 宏明、東 清一郎、“低温堆積SiNx/SiO2二層膜による結晶シリコン表面パッシベーション”、第60回応用物理学会春季学術講演会 講演予稿集 29a-A4-7 (2013.3.27-30、神奈川工科大学).
村上 秀樹、 芦原 龍平、丸山 佳祐、 花房 宏明、 宮崎 誠一、 東清一郎、“不純物イオン注入 SiC 基板の高効率活性化と化学結合状態評価”、ゲートスタック研究会 第18回研究会 材料・プロセス・評価の物理、pp. 119 – 122. (2013.1.25-1.26、ニューウェルシティー湯河原).
小野 貴寛、大田 晃生、花房 宏明、村上 秀樹、東 清一郎、宮崎 誠一、“X線光電子分光によるAs+イオン注入したGeの化学結合状態分析”、ゲートスタック研究会 第18回研究会 材料・プロセス・評価の物理、pp. 171 – 174.  (2013.1.25-1.26、ニューウェルシティー湯河原).
大田 晃生、牧原 克典、池田 弥央、村上 秀樹、東 清一郎、宮崎 誠一、“スパッタ形成したPt/SiOx/Pt構造の光電子分光分析”、ゲートスタック研究会 第18回研究会 材料・プロセス・評価の物理、pp. 229 – 232.  (2013.1.25-1.26、ニューウェルシティー湯河原).
田中 敬介、林 将平、岡田 竜弥、藤田 悠二、上倉 敬弘、東 清一郎、“熱プラズマジェット照射によるガラス基板上a-Si膜の結晶化における残留応力とクラック発生の調査”、薄膜材料デバイス研究会 第9回研究集会、2P01(pp. 66-68)(2012.11.2-11.3、なら100年会館).
酒池 耕平、小林 義崇、中村 将吾、林 将平、赤澤 宗樹、東 清一郎、“近赤外半導体レーザ光照射によるSi 膜の転写メカニズムの解明と転写Si 膜の電気特性および欠陥密度評価”、薄膜材料デバイス研究会 第9回研究集会、2P07(pp. 77-80)(2012.11.2-11.3、なら100年会館).
中村 将吾、酒池 耕平、小林 義崇、林 将平、花房 宏明、東 清一郎、“近赤外半導体レーザ光照射によるポーラスシリコン上へのSi膜の転写及び面方位制御”、薄膜材料デバイス研究会 第9回研究集会、2P09(pp. 81-83)(2012.11.2-11.3、なら100年会館).
上倉 敬弘、林 将平、藤田 悠二、赤澤 宗樹、村上 秀樹、東 清一郎、“大気圧マイクロ熱プラズマジェットを用いたa-Ge膜結晶化における結晶成長観察”、薄膜材料デバイス研究会 第9回研究集会、2P11(pp. 84-86)(2012.11.2-11.3、なら100年会館).
水野 翼、林 将平、花房 宏明、小柳 俊貴、池田 弥央、小林 義崇、 藤田 悠二、村上 秀樹、東 清一郎、“誘導結合型プラズマ化学気相堆積法を用いた高品質a-Si:Hパッシベーション膜の形成”、薄膜材料デバイス研究会 第9回研究集会、2P19(pp. 97-99)(2012.11.2-11.3、なら100年会館).
林 将平、藤田 悠二、上倉 敬弘、酒池 耕平、赤澤 宗樹、東 清一郎、“結晶化 大気圧マイクロ熱プラズマジェット照射によるa-Si膜結晶化メカニズムの解明”、薄膜材料デバイス研究会 第9回研究集会、3O04(pp. 154-157)(2012.11.2-11.3、なら100年会館).
赤澤 宗樹、周 袁、酒池 耕平、林 将平、池田 弥央、花房 宏明、東 清一郎、“キャリアガス搬送Siパウダーへのレーザ照射による結晶Siの形成”、薄膜材料デバイス研究会 第9回研究集会、3P02(pp. 161-163)(2012.11.2-11.3、なら100年会館).
藤田 悠二、林 将平、上倉 敬弘、酒池 耕平、赤澤 宗樹、村上 秀樹、東 清一郎、“アモルファスシリコン細線及びスリットマスクを用いたマイクロ熱プラズマジェット結晶化における結晶成長制御及びTFT応用”、薄膜材料デバイス研究会 第9回研究集会、3P06(pp. 168-171)(2012.11.2-11.3、なら100年会館).
小林 義崇、酒池 耕平、中村 将吾、大田 晃生、東 清一郎、“近赤外半導体レーザ光照射によるP ドープ a-Si 膜の転写と同時活性化技術”、薄膜材料デバイス研究会 第9回研究集会、3P08(pp. 172-175)(2012.11.2-11.3、なら100年会館).
芦原 龍平、花房 宏明、村上 秀樹、林 将平、東 清一郎、“大気圧熱プラズマジェット照射によるSiCウェハの急速熱処理”、薄膜材料デバイス研究会 第9回研究集会、3P10(pp. 176-177)(2012.11.2-11.3、なら100年会館).
小柳 俊貴、林 将平、水野 翼、花房 宏明、東 清一郎、“大気圧熱プラズマジェット照射及び高圧水蒸気熱処理による低温堆積SiO2/Si界面の改質及び少数キャリアライフタイムの向上”、薄膜材料デバイス研究会 第9回研究集会、3P20(pp. 190-193)(2012.11.2-11.3、なら100年会館).
中村 将吾、酒池 耕平、小林 義崇、林 将平、花房 宏明、東 清一郎、“近赤外半導体レーザ光照射によるポーラスシリコン上へのa-Si膜の転写と結晶成長制御(II)”、第73回応用物理学会学術講演会 講演予稿集14a-F6-11(2012.9.11-14、愛媛大学・松山大学).
橋本 邦明、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“TaOx/p-Ge(100)界面のエネルギーバンドアライメント評価とAl電極ショットキーダイオードの伝導制御”、第73回応用物理学会学術講演会 講演予稿集 13a-F5-8(2012.9.11-14、愛媛大学・松山大学).
小野 貴寛、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“As+イオン注入したGe(100)の光電子分光分析”、第73回応用物理学会学術講演会 講演予稿集 11p-F5-14(2012.9.11-14、愛媛大学・松山大学).
村上 秀樹、大田 晃生、芦原 龍平、雨宮 嘉照、田部井 哲夫、横山 新、吉川 公麿、宮崎 誠一、東 清一郎、“As+イオン注入した4H-SiC基板の化学結合状態評価”、第73回応用物理学会学術講演会 講演予稿集 11p-PB2-15(2012.9.11-14、愛媛大学・松山大学).
水野 翼、林 将平、小柳 俊貴、花房 宏明、池田 弥央、小林 義崇、藤田 悠二 、村上 秀樹、東 清一郎、“誘導結合型プラズマ化学気相堆積法を用いたa-Si:H膜による結晶シリコン表面パッシベーション”、第73回応用物理学会学術講演会 講演予稿集 12a-F6-6(2012.9.11-14、愛媛大学・松山大学).
赤澤 宗樹、周  袁、酒池 耕平、林 将平、池田 弥央、花房 宏明、東 清一郎、“キャリアガス搬送シリコンパウダーへのレーザ照射による結晶Siの形成”、第73回応用物理学会学術講演会 講演予稿集 12a-F5-4(2012.9.11-14、愛媛大学・松山大学).
高  金、牧原 克典、高見 弘貴、竹内 大智、酒池 耕平、林 将平、池田 弥央、東 清一郎、宮崎 誠一、“導電性AFM短針によるNiナノドット上に形成した高結晶性Ge:H薄膜も局所伝導評価”、第73回応用物理学会学術講演会 講演予稿集 12a-F7-8(2012.9.11-14、愛媛大学・松山大学).
酒池 耕平、小林 義崇、中村 将吾、林 将平、赤澤 宗樹、池田 弥央、花房 宏明、東 清一郎、“近赤外半導体レーザ光照射による転写 Si 膜の電気特性及び欠陥密度評価”、第73回応用物理学会学術講演会 講演予稿集 14a-F6-10(2012.9.11-14、愛媛大学・松山大学).
田中 敬介、林 将平、藤田 悠二、岡田 竜弥、東 清一郎、“ガラス基板上a-Si膜の熱プラズマジェット結晶化におけるクラック発生と残留応力”、第73回応用物理学会学術講演会 講演予稿集 12a-F5-5(2012.9.11-14、愛媛大学・松山大学).
藤田 悠二、林 将平、上倉 敬弘、東 清一郎、“マイクロ熱プラズマジェット照射高速横方向結晶化におけるスリットマスクを用いたSi結晶成長制御”、第73回応用物理学会学術講演会 講演予稿集 12a-F5-6 (2012.9.11-14、愛媛大学・松山大学).
林 将平、藤田 悠二、上倉 敬弘、池田 弥央、花房 宏明、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Si膜のLeading Wave Crystallization”、第73回応用物理学会学術講演会 講演予稿集 12a-F5-7(2012.9.11-14、愛媛大学・松山大学).
上倉 敬弘、林 将平、藤田 悠二、赤澤 宗樹、村上 秀樹、東 清一郎、“大気圧マイクロ熱プラズマジェット照射によるa-Ge膜結晶化過程の直接観察”、第73回応用物理学会学術講演会 講演予稿集 12a-F5-8 (2012.9.11-14、愛媛大学・松山大学).
花房 宏明、酒池 耕平、小林 義崇、中村 将吾、林 将平、村上 秀樹、東 清一郎、“アモルファスSi層を介した金属とGeのコンタクト特性”、 第73回応用物理学会学術講演会 講演予稿集 13a-F5-11(2012.9.11-14、愛媛大学・松山大学).
大田 晃生、Siti Kudnie Sahari、池田 弥央、村上 秀樹、東 清一郎、宮崎 誠一、“ゲルマニウムドライ酸化における基板面方位依存性”、第73回応用物理学会学術講演会 講演予稿集 11a-F8-4(2012.9.11-14、愛媛大学・松山大学).
橋本 邦明、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“HfO2/Ge界面へのTaOx層挿入による界面反応制御と物性評価”、2012年度応用物理学会中国四国支部 学術講演会(Dp-9)(2012.7.28、山口大学).
[招待講演]東 清一郎, 「大気圧熱プラズマジェットを用いた急速熱処理技術と半導体デバイスプロセス応用」平成24年度 第1回電子デバイス事業化フォーラム(2012年7月7日)
大田 晃生、松井 真史、村上 秀樹、東 清一郎、宮崎誠一、“極薄層挿入によるAl/Ge接合の伝導特性制御”、電子情報通信学会技術研究報告、112(92)pp. 53-58. (2012.6.21、名古屋大学).
小野 貴寛、大田 晃生、村上 秀樹、東 清一郎、 宮崎誠一、 “As+イオン注入したゲルマニウム層の化学分析”、電子情報通信学会技術研究報告、112(92)pp. 63-67 (2012.6.21、名古屋大学).
村上 秀樹、三嶋 健斗、大田 晃生、橋本 邦明、 東 清一郎、宮崎誠一、“TaOx層挿入によるHfO2/Ge界面反応制御” 、112(92)pp. 33-36 (2012.6.21、名古屋大学).
林 将平、松原 良平、藤田 悠二、池田 弥央、東 清一郎、“マイクロ熱プラズマジェット照射によるポーラスシリコン層上Si膜のエピタキシャル成長”、電子情報通信学会技術研究報告・シリコン材料・デバイス研究会[SDM] 4月度研究会、 Vol.112  No.18  pp. 63-66 (2012.04 .27-04.28、沖縄県青年会館).
藤田 悠二、林 将平、東 清一郎、“マイクロ熱プラズマジェット結晶化におけるアモルファスシリコン細線及びスリットマスクを用いた結晶成長制御”、 電子情報通信学会技術研究報告・ シリコン材料・デバイス研究会[SDM] 4月度研究会、  Vol.112  No.18  pp.67-70.(2012.04 .27-04.28、沖縄県青年会館).

2011年度

A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Evaluation of Chemical Structure and Resistance Switching Behaviors of Pt/RF-Sputtered Si Oxide/Pt Diodes,” 5th Int. Conf. Plasma-Nano Technol. Sci. (IC-PLANTS2012), (Aichi, Japan, Mar. 9-10, 2012), P-66.
R. Ashihara, T. Okada, M. Ikeda, S. Hayashi, and S. Higashi, “In-Situ Measurement of Temperature Variation in SiC Wafer during Millisecond Rapid Thermal Annealing Induced by Thermal Jet Irradiation,” 5th Int. Conf.  Plasma-Nano Technol. Sci. (IC-PLANTS2012), (Aichi, Japan, Mar. 9-10, 2012), P-33. 
M. Akazawa, Y. Zhou, K. Sakaike, and S. Higashi, “Crystallization of Amorphous Silicon films by molten Silicon contacting method using piezo actuator,” Proc. 8th Int. TFT Conf. (Lisbon, Portugal, Jan. 30-31, 2012), p. 94.
K. Sakaike, Y. Kobayashi, R. Matsubara, M. Ikeda, and S. Higashi, “In-situ observation of phase transformation of amorphous Si films and simultaneous transfer induced by near-infrared semiconductor diode laser irradiation,” Proc. 8th Int. TFT Conf. (Lisbon, Portugal, Jan. 30-31, 2012), p. 96.
K. Sakaike, Y. Kobayashi, M. Akazawa and S. Higashi, “CRYSTALLIZATION OF AMORPHOUS SI FILMS AND SIMULTANEOUS TRANSFER TECHNIQUE INDUCED BY NEAR-INFRARED SEMICONDUCTOR DIODE LASER IRRADIATION,” Abs. 21st Int. Photovoltaic Sci. Eng. Conf. (PVSEC-21), (Fukuoka, Japan, Nov. 28- Dec. 2. 2011), 3D-2P-10.
R. Ashihara, T. Okada, Y. Nishida, and S. Higashi, “Development of Noncontact Measurement of SiC Wafer Temperature during Millisecond Rapid Annealing Induced by Atmospheric Pressure Thermal Plasma Jet Irradiation,” Proc. Int. Symp. Dry Process (DPS2011), (Kyoto, Japan, Nov. 10-11, 2011), pp. 157-158.
A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System,” Abs. 15th Int. Conf. Thin Film (ICTF-15), (Kyoto, Japan, Nov. 8-11, 2011), P-S2-05.  
S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi, and S. Miyazaki, “Kinetics of Thermally Oxidation of Ge(100) Surface,” Abs. 15th Int. Conf. Thin Film (ICTF-15), (Kyoto, Japan, Nov. 8-11, 2011), P-S2-22. 
K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi, and S. Miyazaki, “Characterization of Ultrathin Ta-Oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Method,” 15th Int. Conf. Thin Film (ICTF-15), (Kyoto, Japan, Nov. 8-11, 2011), P-S2-24.
K. Makihara, M. Ikeda, A. Ohta, R. Ashihara, S. Higashi, and S. Miyazaki, “Formation of PtAl Nanodots Induced by RemoteHydrogen Plasma,” Abs. 15th Int. Conf. Thin Film (ICTF-15), (Kyoto, Japan, Nov. 8-11, 2011), P-S2-27.
A. Ohta, Y. Goto, S. Nishigaki, H. Murakami, S. Higashi, and S. Miyazaki, “Impact of Oxide Thinning on Resistance Switching Behavior of RF Sputtered SiOx Dielectric Sandwiching with Pt Electrodes,” 24th Int. Microprocesses Nanotechnol. Conf. (MNC2011), (Kyoto, Japan, Oct. 24-27, 2011), 27P-11-147L.
S. K. Sahari, A. Ohta, M. Matsui, H. Murakami, S. Higashi, and S. Miyazaki, “Evaluation of Thermal ly-Grown Ge Oxide on Ge(100) and Ge(111) surfaces,” Ext. Abs. 2011 Int. Conf. Solid State Dev.Mat. (SSDM2011), (Nagoya, Japan, Sept. 28-30, 2011), pp. 14-15.
Y. Fujita, S. Hayashi, H. Murakami, and S. Higashi, “High Speed Lateral Crystallization of Amorphous Silicon Films on Glass,” Ext. Abs. 2011 Int.Conf.  Solid State Dev. Mat. (SSDM2011), (Nagoya, Japan, Sep. 28-30, 2011), pp. 1424-1425.
R. Matsubara, S. Hayashi, and S. Higashi, “Crystallization of Amorphous Silicon Films on Porous Silicon by Micro-Thermal-Plasma-Jet Irradiation,” Abs. 24th Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS24), (Nara, Japan, Aug. 21-26, 2011), P. 120.
A. Ohta, Y. Goto, S. Nishigaki, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering,” 2011 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2011), (Daejeon, Korea, Jun. 29- Jul.1, 2011), pp. 41-46.
Y. Fujita, S. Hayashi, H. Murakami, and S. Higashi, “Fabrication of High Performance Crystalline Silicon TFTs on Glass Using Micro-Thermal-Plasma-Jet,” Proc. AM-FPD11, (Tokyo, Japan, Jul. 11-13, 2011), pp. 239-242.
G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, and S. Miyazaki, “Impact of insertion of ultrathin TaOx layer at the Pt/TiO2 interface on resistive switching characteristics,” 17th Conf. Insulating Films Semiconductors (Infos2011), (Grenoble, France, Jun. 21-24, 2011), P. 46.
M. Matsui, H. Murakami, T. Fujioka, A. Ohta, S. Higashi, and S. Miyazaki, “Characterization of chemical bonding features at metal/GeO2 interfaces by X-ray photoelectron spectroscopy,” 17th Conf. Insulating Films Semiconductors (Infos2011), (Grenoble, France, Jun. 21-24, 2011).
G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, and S. Miyazaki, “Effects of Inserting an Ultrathin TaOx Layer to the Pt/TiO2 Interface on Resistive Switching Characteristic,” 2011 Int. Meeting Future Electron Dev. Kansai (IMFEDK2011), (Kansai, May. 19-20, 2011), pp. 110-111.
M. Akazawa, K. Makihara, T. Matsumoto, and S. Higashi, “Development of Silicon Microliquid Processing Using Piezo Actuator,” Abs. 2011 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 25-29, 2011), A13.2.
S. Higashi, S. Hayashi, Y. Nishida, and R. Matsubara, “Fabrication of High Performance TFTs by Atmospheric Pressure Micro-thermal-plasma-jet Induced Lateral Crystallization Technique,” Abs. 2011 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 25-29, 2011), A22.2.
大田 晃生、 Siti Kudnie Sahari、 池田 弥央、 村上 秀樹、 東 清一郎、 宮崎誠一、 “ゲルマニウムドライ酸化における温度依存性”、 第59回応用物理学関係連合講演会 講演予稿集 16a-A5-4, 13-029 (2012.3.15-18 早稲田大学 早稲田キャンパス).
大田 晃生、 牧原 克典、 池田 弥央、 村上 秀樹、 東 清一郎、 宮崎 誠一、 “Pt/SiOx/Pt 構造における抵抗変化特性”、第59回応用物理学関係連合講演会 講演予稿集 16p-F6-10, 06-151 (2012.3.15-18 早稲田大学 早稲田キャンパス).
松井 真史、 大田 晃生、 村上 秀樹、 小野 貴寛、 橋本 邦明、 東 清一郎、 宮崎誠一、 “極薄層挿入によるAl/p-Ge 接合のショットキー障壁制御”、 第59回応用物理学関係連合講演会 講演予稿集 18p-A1-3, 13-020 (2012.3.15-18 早稲田大学 早稲田キャンパス).
小野 貴寛、 大田 晃生、 村上 秀樹、 東 清一郎、 宮崎 誠一、 “高濃度As+イオン注入ゲルマニウム層における化学結合状態評価”、 第59回応用物理学関係連合講演会 講演予稿集 18a-A6-9, 13-160 (2012.3.15-18 早稲田大学 早稲田キャンパス).
林 将平、松原 良平、池田 弥央、東 清一郎、 “ポーラスシリコン層を用いたマイクロ熱プラズマジェット照射結晶成長における面方位制御”、 第59回応用物理学関係連合講演会 講演予稿集 17p-B8-19 (2012.3.15-18 早稲田大学 早稲田キャンパス).
牧原 克典、山根 雅人、池田 弥央、東 清一郎、宮崎 誠一、 “熱プラズマジェットを用いたミリ秒熱処理によるPtおよびPtシリサイドナノドットの形成とフローティングゲートメモリ応用”、 「講演奨励賞受賞記念講演」 第59回応用物理学関係連合講演会 講演予稿集18a-B3-1 (2012.3.15-18 早稲田大学 早稲田キャンパス).
赤澤 宗樹、周  袁、酒池 耕平、東 清一郎、 “ピエゾアクチュエータを用いたSi融液の高速タッピングによるアモルファスSi膜の結晶化”、 第59回応用物理学関係連合講演会 講演予稿集17a-A6-11 (2012.3.15-18 早稲田大学 早稲田キャンパス).
林 将平、上倉 敬弘、藤田 悠二、池田 弥央、酒池 耕平、東 清一郎、 “マイクロ熱プラズマジェット照射によるSi融液からの結晶成長の高時間分解能観察”、第59回応用物理学関係連合講演会 講演予稿集 17p-A6-1 (2012.3.15-18 早稲田大学 早稲田キャンパス).
藤田 悠二、林 将平、村上 秀樹、東 清一郎、“アモルファスSi細線及びスリットマスクを用いたマイクロ熱プラズマジェット照射高速横方向成長による結晶成長制御”、 第59回応用物理学関係連合講演会 講演予稿集 17p-A6-2 (2012.3.15-18 早稲田大学 早稲田キャンパス).
田中 敬介、岡田 竜弥、林 将平、芦原 龍平、東 清一郎、“熱プラズマジェット照射ミリ秒熱処理におけるガラス基板の非接触温度測定及びクラック発生条件の解明”、 第59回応用物理学関係連合講演会  講演予稿集 17p-A6-3 (2012.3.15-18 早稲田大学 早稲田キャンパス).
小林 義崇、酒池 耕平、中村 将吾、東 清一郎、“近赤外半導体レーザ光照射によるPドープa-Si膜の不純物活性化と同時転写技術”、 第59回応用物理学関係連合講演会 講演予稿集 17p-A6-4 (2012.3.15-18 早稲田大学 早稲田キャンパス).
芦原 龍平、池田 弥央、林 将平、酒池 耕平、東 清一郎、“大気圧熱プラズマジェット照射によるSiCウェハの急速熱処理”、 第59回応用物理学関係連合講演会 講演予稿集 18p-A8-6 (2012.3.15-18 早稲田大学 早稲田キャンパス).
高  金、牧原 克典、酒池 耕平、林 将平、出木 秀典、池田 弥央、東 清一郎、宮崎 誠一、 “GeH4 VHF-ICP からの高結晶性Ge:H 薄膜堆積 -Ni ナノドットを用いた結晶核発生制御-”、 第59回応用物理学関係連合講演会 講演予稿集 16a-B6-5 (2012.3.15-18 早稲田大学 早稲田キャンパス).
酒池 耕平、小林 義崇、中村 将吾、赤澤 宗樹、池田 弥央、東 清一郎、 “近赤外半導体レーザ光照射によるSi膜の転写メカニズムの解明”、 第59回応用物理学関係連合講演会 講演予稿集 17p-B6-2 (2012.3.15-18早稲田大学 早稲田キャンパス).
中村 将吾、酒池 耕平、小林 義崇、東 清一郎、“近赤外半導体レーザ光照射によるポーラスシリコンへのa-Si膜の転写と結晶成長制御”、 第59回応用物理学関係連合講演会 講演予稿集 17p-B6-3 (2012.3.15-18 早稲田大学 早稲田キャンパス).
小柳 俊貴、西田 悠亮、林 将平、池田 弥央、東 清一郎、“大気圧熱プラズマジェット照射及び高圧水蒸気熱処理による低温堆積SiO2膜及びSi/SiO2界面の改善”、 第59回応用物理学関係連合講演会 講演予稿集 17a-B6-5 (2012.3.15-18 早稲田大学 早稲田キャンパス).
大田 晃生、 シティ クディニ サハリ、 池田 弥央、 松井 真史、三嶋 健人、 村上 秀樹、 東 清一郎、宮崎 誠一、“Ge熱酸化-酸化温度が熱酸化膜構造に及ぼす影響”、 ゲートスタック研究会 第17回研究会 材料・プロセス・評価の物理、pp. 93 – 96. (2012.1.20-21 東レ総合研修センター) .
三嶋 健斗、 村上 秀樹、 大田 晃生、 橋本 邦明、 東 清一郎、 宮崎 誠一、“HfO2/Ge界面へのTaOx層挿入による界面反応制御”、 ゲートスタック研究会 第17回研究会 材料・プロセス・評価の物理、pp. 121 – 124. (2012.1.20-21 東レ総合研修センター) .
松井 真史、 大田 晃生、 村上 秀樹、 小野 貴寛、 東 清一郎、宮崎 誠一、“熱処理による金属/GeO2界面化学構造変化-X線光電子分光分析”、 ゲートスタック研究会 第17回研究会 材料・プロセス・評価の物理、pp. 169 – 172. (2012.1.20-21 東レ総合研修センター).
大田 晃生、 後藤 優太、 西垣 慎吾、 村上 秀樹、 東 清一郎、宮崎 誠一、“RFスパッタで形成したSiリッチ酸化薄膜の化学構造分析と抵抗変化特性評価”、 ゲートスタック研究会 第17回研究会 材料・プロセス・評価の物理、pp. 221 – 224. (2012.1.20-21 東レ総合研修センター).
藤田 悠二、東 清一郎、“マイクロ熱プラズマジェット照射高速横方向結晶化におけるSiスリットマスクを用いた結晶成長位置制御”、薄膜材料デバイス研究会 第8回研究集会、 4P03(pp.29-31)(2011.11.4-11.5龍谷大学 アバンティ響都(きょうと)ホール).
松原 良平、酒池 耕平、林 将平、東 清一郎、“近赤外半導体レーザー照射によるポーラスシリコン層転写技術の開発”、薄膜材料デバイス研究会(第8回研究集会 4P05(pp.32-35)(2011.11.4-11.5 龍谷大学 アバンティ響都(きょうと)ホール).
芦原 龍平、岡田 竜弥、西田 悠亮、東 清一郎、“大気圧プラズマジェット照射ミリ秒熱処理におけるSiCウェハの非接触温度測定技術の開発”、薄膜材料デバイス研究会(第8回研究集会、4P21(pp.59-60) (2011.11.4-11.5 龍谷大学 アバンティ響都(きょうと)ホール).
酒池 耕平、小林 義崇、松原 良平、東 清一郎、“近赤外半導体レーザ光照射によるa-Si膜の結晶化と同時転写技術”、薄膜材料デバイス研究会 第8回研究集会、 5O03(pp.148-151) (2011.11.4-11.5 龍谷大学 アバンティ響都(きょうと)ホール).
赤澤 宗樹、周 袁、東 清一郎、“ピエゾアクチュエータを利用したSi融液接触法によるa-Si膜の結晶化”、薄膜材料デバイス研究会第8回研究集会 5P02(pp.163-165)(2011.11.4-11.5 龍谷大学 アバンティ響都(きょうと)ホール).
西田 悠亮、東 清一郎、“大気圧熱プラズマジェット照射による低温堆積SiO2膜の化学結合状態改善”、薄膜材料デバイス研究会 第8回研究集会 5P18(pp.188-190) (2011.11.4-11.5 龍谷大学 アバンティ響都(きょうと)ホール).
[招待講演]東 清一郎、“DCアーク放電大気圧プラズマジェットによる半導体薄膜結晶成長”、 第72回応用物理学会学術講演会 講演予稿集 29p-ZN-4(2011.8.29-9.2、山形大学小白川キャンパス).
村上 秀樹、藤岡 知宏、大田 晃生、三嶋 健斗、S. K. Sahari、東 清一郎、宮崎誠一、“Ge基板上へのLayer-by-layer法によるTiOx形成と界面反応制御”、第72回応用物理学会学術講演会 講演予稿集 1a-Q-14(2011.8.29-9.2 山形大学小白川キャンパス).
大田 晃生、後藤 優太、西垣 慎吾、村上 秀樹、東 清一郎、宮崎 誠一、“RFスパッタにより形成したSiOx薄膜の抵抗変化特性評価”、 第72回応用物理学会学術講演会 講演予稿集 31p-ZK-8(2011.8.29-9.2山形大学小白川キャンパス).
Siti Kudnie Sahari、大田 晃生、松井 真史、村上 秀樹、東 清一郎、宮崎誠一、“Evaluation of Chemical Bonding Features of Thermally-Grown Ge Oxide/Ge (100) System”、第72回応用物理学会学術講演会 講演予稿集 1a-Q-6(2011.8.29-9.2 山形大学小白川キャンパス).
酒池 耕平、松原 良平、小林 義崇、東 清一郎、“近赤外半導体レーザ光照射によるa-Si膜の結晶化と同時転写技術”、 第72回応用物理学会学術講演会 講演予稿集 1p-M-6(2011.8.29-9.2 山形大学小白川キャンパス).
三嶋 健斗、村上 秀樹、大田 晃生、Sahari S. K.、藤岡 知宏、東 清一郎、宮崎 誠一、“ALD及びLayer-by-Layer法による極薄Ta酸化膜の形成とGe(100)基板における界面酸化評価”、 第72回応用物理学会学術講演会講演予稿集 1a-Q-13(2011.8.29-9.2 山形大学小白川キャンパス).
芦原 龍平、岡田 竜弥、西田 悠亮、東 清一郎、“大気圧プラズマジェット照射ミリ秒熱処理におけるSiCウェハの非接触温度測定技術の開発”、 第72回応用物理学会学術講演会 講演予稿集 31a-ZB-2(2011.8.29-9.2 山形大学小白川キャンパス).
藤田 悠二,東 清一郎、“マイクロ熱プラズマジェット照射高速横方向結晶化におけるSiスリットマスクを用いた結晶成長位置制御”、第72回応用物理学会学術講演会 講演予稿集 1p-M-3(2011.8.29-9.2 山形大学小白川キャンパス).
赤澤 宗樹、周 袁、酒池 耕平、藤田 悠二、東 清一郎、“ピエゾアクチュエータを利用したシリコン融液接触法によるアモルファスシリコン膜の結晶化”、 応用物理学会 中・四国支部2011年度 支部学術講演会 (Fp1-3)(2011.7.30日、鳥取大学).
大田 晃生、森 大樹、村上 秀樹、東 清一郎、宮崎 誠一、“Hf系高誘電率絶縁膜のX線光電子分光分析” 応用物理学会 中・四国支部2011年度 支部学術講演会 (Ca2-3)(2011.7.30日 鳥取大学).
大田 晃生、後藤 優太、西垣 慎吾、Guobin Wei、村上 秀樹、東 清一郎、宮崎 誠一、“RFスパッタ形成したSi酸化膜を用いたMIMキャパシタの抵抗変化特性”、 シリコン材料・デバイス研究会[SDM] 信学技法Vol.111, No.114, pp. 97-102(IEICE Technical Report)(2011.7.4 名古屋大学).
松井 真史, 藤岡 知宏,大田 晃生,村上 秀樹, 東 清一郎、宮崎 誠一、“金属/GeO2界面における科学結合状態の光電子分光分析”、 シリコン材料・デバイス研究会[SDM] 信学技法Vol.111, No.114, pp. 63-68 (IEICE Technical Report)(2011.7.4 名古屋大学).
村上 秀樹、藤岡 知宏、大田 晃生、三嶋 健斗、東 清一郎、宮崎 誠一、 “Ge(100)表面の極薄TioxキャッピングによるHfO2原子堆積/熱処理時の界面反応制御”、 シリコン材料・デバイス研究会[SDM] 信学技法Vol.111, No.114, pp. 47-50(IEICE Technical Report)(2011.7.4名古屋大学).

2010年度

Y. Nishida, S. Hayashi, K. Matsumoto, and S. Higashi, “Improvement in Electrical Stress Endurance of Low-Temperature Deposited SiO2 Films by Atmospheric Pressure Thermal Plasma Jet Annealing,” 4th Int. Conf. on Plasma Nanotechnol. Sci. (IC-PLANTS2011), (Takayama, Japan, Mar. 10-12, 2011), P-46.
K. Makihara, N. Morisawa, M. Ikeda, K. Matsumoto, M. Yamane, S. Higashi, and S. Miyazaki, “Electrical Charging Characteristics of Pt-Nanodots Floating Gate in MOS Capacitors,” 4th Int. Conf. on Plasma Nanotechnol. Sci. (IC-PLANTS2011), (Takayama, Japan, Mar. 10-12, 2011), P-36.
S. Hayashi, M. Ikeda, Y. Nishida, R. Matsubara, and S. Higashi, “Fabrication of High performance TFTs Using Micro-Thermal-Plasma-Jet Crystallized Strip Amorphous Silicon Films,” 7th Int. Thin-Film Transistor Conf. (ITC2011) (Cambridge, UK, Mar. 3-4, 2011), p. 37.
A. Ohta, Y. Goto, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki, “Impact of Y2O3 Addition of Chemical Bonding Features and Resistance Switching of TiO2,” 2011 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2011), (Tokyo, Japan, Jan. 20-21, 2011), pp. 113-114.
A. Ohta, T. Fujioka, H. Murakami, S. Higashi, and S. Miyazaki, “XPS Study of Interfacial Reaction between Metal and Ge Oxide,” 2011 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2011), (Tokyo, Japan, Jan. 20-21, 2011), pp. 25-26.
Y. Goto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Resistance-Switching of SiOx Dielectrics,” 2011 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2011), (Tokyo, Japan, Jan. 20-21, 2011), pp. 163-164.
T. Fujioka, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Formation of Ultra Thin Titanium Oxide on Germanium by Atomic Layer Deposition using TEMAT and O3,” 2011 Int. Workshop Dielectric Thin Films Future ULSI Dev. (IWDTF2011), (Tokyo, Japan, Jan. 20-21, 2011), pp. 51-52.
S. Hayashi, S. Higashi, H. Murakami, and S. Miyazaki, “Characteristics of Thin Film Transistors Fabricated by Solid Phase Crystallization and High Speed Lateral Crystallization Induced by Micro-Thermal-Plasma-Jet Irradiation,” Proc. 17th Int. Display Workshop (IDW’10), (Fukuoka, Japan, Dec. 1-3. 2010), pp. 685-688.
K. Makihara, K. Matsumoto, T. Okada, N. Morisawa, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet,” Proc. Int. Symp. Dry Process (DPS2010), (Tokyo, Japan, Nov. 11-12, 2010), pp. 93-94.
K. Matsumoto, S. Higashi, A. Ohta, H. Murakami, and S. Miyazaki, “Efficient Activation of As Atoms in Ultra Shallow Junction by Thermal Plasma Jet Induced Microsecond Annealing,” Proc. Int. Symp. Dry Process (DPS2010), (Tokyo, Japan, Nov. 11-12, 2010), pp. 105-106.
A. Ohta, Y. Goto, G. Wei, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki, “The Impact of Y2O3 Addition into TiO2 on Electronic States and Resistive Switching Characteristics,” 23rd Int. Microprocesses and Nanotechnology Conf. (MNC2010), (Fukuoka, Japan, Nov. 9-12, 2010), 11B-6-2.
T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa, and S. Miyazaki, “Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid,” 218th Electrochem. Soc. Meeting (Las Vegas, USA, Oct. 10-15, 2010), 1870.
H. Murakami, T. Fujioka, A. Ohta, T. Bando, S. Higashi, and S. Miyazaki, “Characterization of Interfaces between Chemically-Cleaned or Thermally-Oxidized Germanium and Metals,” 218th Electrochem. Soc. Meeting (Las Vegas, USA, Oct. 10-15, 2010), 1881.
S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi, and S. Miyazaki, “Study on Native Oxidation of Ge (111) and (100) Surfaces,” Ext. Abs. 2010 Int. Conf. Solid State of Dev. Mat. (SSDM2010), (Tokyo, Japan, Sept. 22-24, 2010), pp. 209-210.
K. Matsumoto, S. Higashi, H. Murakami, and S. Miyazaki, “Efficient Activation of As in Ultrashallow Junction Induced by Thermal Plasma Jet Microsecond Annealing,” Ext. Abs. 2010 Int. Conf. Solid Sate Dev. Mat. (SSDM2010), (Tokyo, Japan, Sept. 22-24, 2010), pp. 191-192.
S. Hayashi, S. Higashi, H. Murakami, and S. Miyazaki, “High Speed Lateral Crystallization of Amorphous Silicon Films Using Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor,” Proc. AM-FPD10, (Tokyo, Japan, Jul. 5-7, 2010), pp. 247-250.
Y. Hiroshige, S. Higashi, Y. Nishida, S. Hayashi, and S. Miyazaki, “Improvement of Gate SiO2 Films Reliability by Atmospheric Pressure Thermal-plasma-jet-induced millisecond annealing,” Proc. AM-FPD10, (Tokyo, Japan, Jul. 5-7, 2010), pp. 109-112.
G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki, “The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure,” Dig 2010 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2010), (Tokyo, Japan, Jun. 30 – Jul. 2, 2010), pp. 31-36.
A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Detect State Densities,” Dig 2010 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2010), (Tokyo, Japan, Jun. 30 – Jul. 2, 2010), pp. 189-194.
Y. Goto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Chemical Bonding Features at TiO2/Pt Interface and Their Impact on Resistance-Switching Properties,” 2010 Int. Meeting for Future Electron Dev. Kansai (IMFEDK2010), (Osaka, Japan, May. 13-14, 2010), p. 114.
[Invited] S. Higashi, “Millisecond Annealing Induced by Atmospheric Pressure Thermal Plasma Jet Irradiation and Its Application to Ultra Shallow Junction Formation,” Ext. Abs. 2010 Int. Workshop Junction Tech. (IWJT-2010), (Shanghai, China, May 10-11, 2010), pp. 30-35.
S. Hayashi, S. Higashi, and S. Miyazaki, “Growth of Large Crystalline Grains by High Speed Scanning of Melting Zone Formed by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films,” Abs. 2010 Material Research Society Spring Meeting (San Francisco, USA, Apr. 5-9, 2010), A20.4.
大田 晃生、 後藤 優太、三嶋 健斗、Goubin Wei、村上 秀樹、東 清一郎、 宮崎 誠一、“Ru添加したTiYxOyの抵抗変化特性評価”、  第58回応用物理学関係連合講演会 25a-BZ-6, 06-119 (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, and S. Miyazaki, “Impact of Insertion of Ultrathin TaOx Layer at the Pt/TiO2 Interface on Resistive Switching Characteristics,” 第58回応用物理学関係連合講演会 25a-BZ-5, (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
後藤 優太、大田 晃生、西垣 慎吾、村上 秀樹、東 清一郎、宮崎 誠一、“Pt/SiOx/Ptキャパシタ構造の抵抗変化特性評価(Ⅱ)”、第58回応用物理学関係連合講演会 25a-BZ-3, (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
山根 雅人、池田 弥央、森澤 直也、松原 良平、西田 悠亮、松本 和也、林 将平、牧原 克典、宮崎 誠一、東 清一郎、“熱プラズマジェットを用いたミリ秒熱処理によるPt シリサイドナノドットの形成”、第58回応用物理学関係連合講演会 24p-KM-10, (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
松原 良平、林 将平、東 清一郎、“マイクロ熱プラズマジェット照射によるポーラスシリコン上アモルファスシリコン膜の結晶化”、第58回応用物理学関係連合講演会 24a-P2-4 (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
松本 竜弥、牧原 克典、赤澤 宗樹、東 清一郎、“マイクロ融液プロセスによる水素終端Si 基板上での疑似ヘテロエピタキシャルGe 膜の形成”、第58回応用物理学関係連合講演会 24a-P2-13 (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
松本 和也、中元 翔平、林 将平、池田 弥央、東 清一郎、“大気圧DC アーク放電熱プラズマジェットのパワー密度空間プロファイル測定”、第58回応用物理学関係連合講演会 24a-P10-3 (2011.3.24-27 神奈川工科大学 文教キャンパス). 予稿提出のみ、震災のため口頭発表は中止
三嶋 健斗, 後藤 優太, 大田 晃生, 村上 秀樹, 東 清一郎、宮崎 誠一、“ルテニウムの化学結合および電子状態評価”、ゲートスタック研究会 第16回研究会 材料・プロセス・評価の物理、pp. 135 – 138. (2011.1.20-22 東京工業大学 大岡山キャンパス).
松井 真史、藤岡 知宏、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“X線光電子分光法による金属/GeO2界面の化学結合状態分析”、ゲートスタック研究会 第16回研究会 材料・プロセス・評価の物理、pp. 143 – 146. (2011.1.20-22 東京工業大学 大岡山キャンパス).
東 清一郎、“大気圧プラズマジェット照射急速熱処理によるナノドットおよび極浅接合形成”、第133回シリコンテクノロジー pp.2-5(2011.2.18、東京大学浅野キャンパス).
松本 和也、大田 晃生、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射マイクロ秒急速熱処理による極浅接合中のAs の高効率活性化”、薄膜材料デバイス研究会 第7回研究集会、5P07(pp.29-31)(2010.11.5-6、なら100年会館).
松本 竜弥、牧原 克典、赤澤 宗樹、東 清一郎、“微小融液滴下による疑似エピタキシャルGe / Si の形成”、薄膜材料デバイス研究会 第7回研究集会、5P11(pp.36-38)(2010.11.5-6、なら100年会館).
林 将平、池田 弥央、西田 悠亮、松原 良平、東 清一郎、“マイクロ熱プラズマジェット照射固相結晶化及び高速横方向結晶化Si 膜TFT の電気特性評価”、薄膜材料デバイス研究会 第7回研究集会、5P15(pp.42-44)(2010.11.5-6、なら100年会館).
赤澤 宗樹、牧原 克典、松本 竜弥、東 清一郎、“ピエゾアクチュエータを利用した微小シリコン融液滴下技術の開発”、薄膜材料デバイス研究会 第7回研究集会、6P10(pp.135-137)(2010.11.5-6、なら100年会館).
後藤 優太、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“Pt/SiO2/Ptキャパシタ構造の抵抗変化特性評価”、 第71回応用物理学会学術講演会 講演予稿集 17p-NE-5 (2010.9.14-17、長崎大学 文教キャンパス).
三嶋 健斗、大田 晃生、後藤 優太、藤岡 知宏、村上 秀樹、東 清一郎、宮崎 誠一、“ルテニウム酸化物の化学結合および電子状態評価”、第71回応用物理学会学術講演会 講演予稿集 14a-ZD-6 (2010.9.14-17、長崎大学 文教キャンパス).
松本 竜弥、東 清一郎、牧原 克典、赤澤 宗樹、宮崎 誠一、“微小融液滴下による疑似エピタキシャルGe / Si の形成”、第71回応用物理学会学術講演会 講演予稿集 16p-ZD-4 (2010.9.14-17、長崎大学 文教キャンパス).
松本 和也、東 清一郎、宮崎 誠一、“マイクロ秒急速熱処理によるSi ウェハ表面の高効率不純物活性化”、第71回応用物理学会学術講演会 講演予稿集 16a-ZD-1 (2010.9.14-17、長崎大学 文教キャンパス).
大田 晃生、藤岡 知宏、後藤 優太、村上 秀樹、東 清一郎、宮崎 誠一、“Ge-MIS構造における界面化学結合状態の光電子分光分析”、第71回応用物理学会学術講演会 講演予稿集 15p-ZA-7 (2010.9.14-17、長崎大学 文教キャンパス).
藤岡 知宏、大田 晃生、村上 秀樹、東 清一郎、宮崎 誠一、“有機金属原料を用いたALD によるGe 上へのTi 酸化膜の形成”、 第71回応用物理学会学術講演会 講演予稿集 15p-ZA-15 (2010.9.14-17、長崎大学 文教キャンパス).
林 将平、東 清一郎、村上 秀樹、宮崎 誠一、“マイクロ熱プラズマジェット結晶化Si 膜を用いたTFT の電気特性評価”、第71回応用物理学会学術講演会 講演予稿集 15p-ZD-16 (2010.9.14-17、長崎大学 文教キャンパス).
林 将平、東 清一郎、村上 秀樹、宮崎 誠一、“マイクロ熱プラズマジェット結晶化によるSi結晶粒位置制御”、第71回応用物理学会学術講演会 講演予稿集 15p-ZD-17 (2010.9.14-17、長崎大学 文教キャンパス).
[招待講演]東 清一郎,「大気圧熱プラズマジェットを用いたシリコン膜のマイクロ秒溶融結晶化と高性能TFT作製応用」,電子情報通信学会技術研究報告 シリコン材料・デバイス(2010年4月23日沖縄青年会館), 信学技報110(15)(2010年4月16日)pp. 39-44.

2009年度

S. Hayashi, S. Higashi, H. Murakami, and S. Miyazaki, “Crystallization of Amorphous Si Films by Ultra High Speed Zone Melting Induced by Micro Thermal Plasma Jet Irradiation,” Proc. 27th Symp. Plasma Processing (SPP-27), (Yokohama, Japan, Feb. 1-3, 2010), pp. 75-76.
Y. Hiroshige, S. Higashi, K. Matsumoto, and S. Miyazaki, “Improvement of Current Stress Endurance of Low-Temperature Deposited SiO2 Films by Thermal Plasma Jet Induced Millisecond Annealing,” Proc. 6th Int. TFT Conf. (Himeji, Japan, Jan. 28-29, 2010), pp. 138-141.
T. Matsumoto, S. Higashi, N. Koba, and S. Miyazaki, “Pseudo-Epitaxial Growth of Silicon Microliquid Dropped on Hydrogen Terminated Silicon Wafer Surface,” Abs. 19th Int. Photovoltaic Sci. Eng. Conf. (PVSEC-19), (Jeju, Korea, Nov. 9-13, 2009), pp. 295-296.
K. Matsumoto, S. Higashi, H. Furukawa, T. Okada, H. Murakami, and S. Miyazaki, “Activation of B and As in Ultra Shallow Junction with Heating and Cooling Rates Controlled Millisecond Annealing Induced by Thermal Plasma Jet,” Ext. Abs. 2009 Int. Conf. Solid Sate Dev. Mat. (SSDM2009), (Sendai, Japan, Oct. 7-9, 2009), pp. 1018-1019.
T. Mori, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Evaluation of Effective Work Function of Pt on Bi-layer High-k/SiO2 Stack Structure using by Backside X-ray Photoelectron Spectroscopy,” Ext. Abs. 2009 Int. Conf. Solid State Dev. Mat. (SSDM2009), (Sendai, Japan, Oct. 7-9, 2009), pp. 44-45.
Y. Hiroshige, S. Higashi, K. Matsumoto, and S. Miyazaki, “Formation of High Quality SiO2 and SiO2/Si Interface using Thermal Plasma Jet Induced Millisecond Annealing and Post-Metallization Annealing,” Proc. Int. Symp. Dry Process (DPS2009), (Busan, Korea, Sep. 24-25, 2009), pp. 261-262.
S. Higashi, N. Koba, T. Matsumoto, and S. Miyazaki, “Control of Growth Orientation during Rapid Solidification of Si Microliquid,” Abs. 23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS23), (Utrecht, Netherlands, Aug. 23-28, 2009), p. 252.
T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige, and S. Miyazaki, “Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation,” Abs. 23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS23), (Utrecht, Netherlands, Aug. 23-28, 2009), p. 365.
K. Makihara, Y. Miyazaki, T. Okada, H. Kaku, K. Shimanoe, A. Ohta, M Ikeda, S. Higashi, and S, Miyazaki, “Selective Crystallization of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma,” Abs. 23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS23), (Utrecht, Netherlands, Aug. 23-28, 2009), p. 360.
[Invited] S. Higashi, “Millisecond Thermal Processing for TFT and ULSI,” Semiconductor Tech. Ultra Large Scale Integrated Circuits and Thin Film Transistors II, (Xi’an, China, Jul. 5-10, 2009).
K. Sugakawa, S. Higashi, H. Kaku, T. Okada, and S. Miyazaki, “Characterization of Microcrystalline Silicon Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique,” Proc. AM-FPD09, (Nara, Japan, Jul. 1-3, 2009), pp. 117-120.
A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Interfacial Reaction and Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100),” 16th biannual Conf. Insulating Films Semiconductors 2009 (INFOS2009), (Cambridge, UK, Jun. 29 – Jul. 1, 2009), p. 178.
H. Murakami, S. Mahboob, K. Katayama, K. Makihara, M. Ikeda, Y. Hata, A. Kuroda, S. Higashi, and S. Miyazaki, “Electrical Detection of Silicon Binding Protein-Protein A using a p-MOSFET Sensor,” 2009 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2009), (Busan, Korea, Jun. 24-26, 2009), 2B-8.
K. Makihara, K. Shimanoe, A. Kawanami, A. Fujimoto, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation Mechanism of Metal Nanodots Induced by Remote Plasma Exposure,” European Mat. Res. Soc. (E-MRS) 2009 Spring Meeting, (Strasbourg, France, Jun. 8-12, 2009), Q8-19.
T. Okada, S. Higashi, H. Kaku, H. Furukawa, K. Sugakawa, and S. Miyazaki, “Si Nanocrystals Formation in SiO2/SiOx/SiO2 Stack Structure by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory,” Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 13-17, 2009), A19.8.
松本 和也、東 清一郎、村上 秀樹、宮崎 誠一、“高密度熱プラズマジェット照射急速熱処理による極浅接合中の高効率不純物活性化”、 第57回応用物理学関係連合講演会 講演予稿集 13-212 (2010.3.17-20、東海大学湘南キャンパス).
広重 康夫、東 清一郎、林 将平、西田 悠亮、宮崎 誠一、“大気圧熱プラズマジェット照射ミリ秒熱処理によるゲート絶縁膜の信頼性向上”、 第57回応用物理学関係連合講演会 講演予稿集 13-217 (2010.3.17-20、東海大学湘南キャンパス).
林 将平、東 清一郎、広重 康夫、村上 秀樹、宮崎 誠一、“大気圧マイクロ熱プラズマジェットを用いたSi 膜の高速横方向結晶成長と TFT の電気特性評価”、 第57回応用物理学関係連合講演会 講演予稿集 13-221 (2010.3.17-20、東海大学湘南キャンパス).
林 将平、東 清一郎、広重 康夫、村上 秀樹、宮崎 誠一、“大気圧DC アーク放電マイクロ熱プラズマジェットを用いた溶融領域の高速走査による高結晶性 Si 膜の形成とTFT 応用”、 第57回応用物理学関係連合講演会 講演予稿集 08-086 (2010.3.17-20、東海大学湘南キャンパス).
筒井 啓喜、岡田 竜弥、東 清一郎、広重 康夫、松本 和也、宮崎 誠一、野口 隆、“リモート水素プラズマ処理における石英基板表面温度の非接触測定”、 第57回応用物理学関係連合講演会 講演予稿集 08-089 (2010.3.17-20、東海大学湘南キャンパス).
宮崎 祐介、牧原 克典、池田 弥央、東 清一郎、宮崎 誠一、“Pt/a-Ge:Hのリモート水素プラズマ処理によるPtGe薄膜形成”、 第57回応用物理学関係連合講演会 講演予稿集 13-180 (2010.3.17-20、東海大学湘南キャンパス).
後藤 優太、貫目 大介、大田 晃生、尉国浜、村上 秀樹、東 清一郎、宮崎誠一、 “TiO2/Pt界面における化学結合状態分析と抵抗変化特性評価”、 ゲートスタック研究会 第15回研究会 極薄シリコン酸化膜の形成・評価・信頼性, P-26, pp.209-213. (2010.1.22-23、東レ総合研修センター).
広重 康夫、東 清一郎、岡田 竜弥、松本 和也、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理及びポストメタライゼーションアニールを用いた高品質SiO₂膜及びSiO/Si界面の形成”、薄膜材料デバイス研究会 第6回研究集会、3P48(pp.234-236)(2009.11.2-3、龍谷大学).
松本 竜弥、東 清一郎、宮崎 誠一、“Siマイクロ融液プロセスによる水素終端Si表面での結晶成長制御”、薄膜材料デバイス研究会 第6回研究集会、 3P08(pp.110-112)(2009.11.2-3、龍谷大学).
林 将平、東 清一郎、村上 秀樹、宮崎 誠一、“大気圧DCアーク放電マイクロ熱プラズマジェット照射によるa-Si膜の横方向結晶成長”、薄膜材料デバイス研究会 第6回研究集会、 2P03(pp96-98)(2009.11.2-3、龍谷大学).
松本 和也、東 清一郎、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射ミリ秒急速熱処理中の加熱・冷却速度精密制御と不純物活性化への影響”、薄膜材料デバイス研究会 第6回研究集会、 3O02(pp.63-66)(2009.11.2-3、龍谷大学).
広重 康夫、東 清一郎、岡田 竜弥、松本 和也、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理による低温形成SiO2 膜の高品質化”、第70回応用物理学学術講演会 講演予稿集 No. 1, p. 185 (2009.9.8-11、富山大学五福キャンパス).
広重 康夫、東 清一郎、岡田 竜弥、松本 和也、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理及びポストメタライゼーションアニールを用いた高品質SiO2 膜及びSiO2/Si 界面の形成”、第70回応用物理学学術講演会 講演予稿集 No. 2, p. 769 (2009.9.8-11、富山大学五福キャンパス).
松本 和也、東 清一郎、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射ミリ秒急速熱処理中の加熱・冷却速度制御と不純物活性化”、第70回応用物理学学術講演会 講演予稿集 No. 2, p. 767 (2009.9.8-11、富山大学五福キャンパス).
林 将平、東 清一郎、村上 秀樹、宮崎 誠一、“大気圧DC アーク放電マイクロ熱プラズマジェット照射によるa-Si 膜の結晶化”、第70回応用物理学学術講演会 講演予稿集 No. 2, p. 764 (2009.9.8-11、富山大学五福キャンパス).
宮崎 祐介、牧原 克典、川浪 彰、岡田 竜弥、池田 弥央、東 清一郎、宮崎 誠一、“リモート水素プラズマ支援による表面被覆したa-Ge薄膜の局所結晶化”、 第70回応用物理学学術講演会 講演予稿集 No. 2, p. 765 (2009.9.8-11、富山大学五福キャンパス).
後藤 優太,貫目 大介,大田 晃生,尉国浜,村上 秀樹,東 清一郎,宮崎誠一、 “X線光電子分光法によるTiO2/Pt界面の化学結合状態分析,” 2009年第70回秋季 応用物理学会学術講演会, 9a-H-4, p.550 (2009.9.8-11、富山大学五福キャンパス).
松本 竜弥、東 清一郎、木庭直浩、宮崎誠一、“ Siマイクロ融液プロセスによる水素終端Si表面上での結晶成長制御”、 第14回(2009年度)応用物理学会中国四国支部 学術講演会(Cp1-3)(2009年9月10日、広島大学)(発表奨励賞受賞)
後藤 優太、大田 晃生、貫目 大介、尉国浜、村上 秀樹、東 清一郎、宮崎誠一、 “TiO2/Pt界面の化学結合および電子状態評価”、 電気通信情報学会(SDM) [シリコン材料・デバイス]シリコンテクノロジー分科会 6月度合同研究会, SDM2009-44, pp.99-103 (東京、東京大学 駒場リサーチキャンパス生産技術研究所2009年6月19日)

2008年度

A. Kawanami, K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Impact of Remote Plasma Treatment on Formation of Metal Nanodots on Ultrathin SiO2,” 2nd Int. Conf. Plasma-Nano Technol. & Sci. (IC-PLANTS2009), (Nagoya, Japan, Jan. 22-23, 2009), P-09.
N. Koba, S. Higashi, T. Okada, T. Matsumoto, H. Murakami, and S. Miyazaki, “A Novel Millisecond Crystallization Technique Using Si Micro Liquid,” Proc. 5th Int. TFT Conf. (Paris, France, Mar. 5-6, 2009), pp. 263-266.
Y. Hiroshige, S. Higashi, H. Kaku, H. Furukawa, T. Okada, and S. Miyazaki, “Improvement of Bond Structure and Electrical Properties of Low-Temperature Deposited SiO2 Films by Thermal Plasma Jet Induced Millisecond Annealing,” Plasma Sci. Symp. 2009 and 26th Symp. Plasma Processing (PSS-2009/SPP-26)Proc. (Nagoya, Japan, Feb. 2-4, 2009), pp. 496-497.
H. Furukawa, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki, “Generation of High Density Thermal Plasma Jet and Its Application to Millisecond Annealing of Si Wafer Surface for Shallow Junction Formation,” Proc. Int. Symp. Dry Process (DPS2008), (Tokyo, Japan, Nov. 26-28, 2008), pp. 267-268.
K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Metal Nanodots Formation Induced by Remote Plasma Treatment -Comparison between the effects of H2 and rare gas plasmas-,” Int. Union Mat. Res. Soc. – Int. Conf. in Asia 2008 (IUMRS-ICA 2008), (Nagoya, Japan, Nov. 9-13, 2008), p. 213.
K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi, and S. Miyazaki, “Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique,” Int. Union Mat. Res. Soc. – Int. Conf. in Asia 2008 (IUMRS-ICA 2008), (Nagoya, Japan, Nov. 9-13, 2008), p. 213.
R. Yougauchi, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “La-Oxide Thin Films Formed by MOCVD Using La(TMOD)3,” Int. Union Mat. Res. Soc. – Int. Conf. in Asia 2008 (IUMRS-ICA 2008), (Nagoya, Japan, Nov. 9-13, 2008), p. 214.
D. Kanme, A. Ohta, R. Yougauchi, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Chemical Bonding Features in HfGdxOy film formed by MOCVD using DPM precursors,” 2008 Int. Workshop Dielectric Thin Films for Future ULSI Dev. (IWDTF2008), (Tokyo, Japan, Nov. 5-7, 2008), pp. 25-26.
K. Makihara, A. Ohta, R. Matsumoto, M. Ikeda, K. Shimanoe, S. Higashi, and S. Miyazaki, “Characterization of Chemical Bonding Features and Electronic States of Ni-Silicide Nanodots Formed by a Remote H2-Plasma Assisted Technique,” 4th Vac. and Surf. Sci. Conf. Asia and Australia (VASSCAA-4), (Matsue, Japan, Oct. 28-31, 2008), p. 45.
K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki, “Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2,” Joint Int. Meeting 214th Electrochem. Soc. (ECS) Meeting and 2008 Fall Meeting Electrochem. Soc. Jpn. (PRiME 2008) Abs., SiGe & Ge Materials, Processing and Device Symp. (Honolulu, Hawaii, USA, Oct. 12-17, 2008), #2403.
Okada, S. Higashi, H. Kaku, H. Furukawa, and S. Miyazaki, “Formation of Si Nanocrystals in SiOx Films Induced by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory,” Joint Int. Meeting 214th Electrochem. Soc. (ECS) Meeting and 2008 Fall Meeting Electrochem. Soc. Jpn. (PRiME 2008) Abs., (Honolulu, Hawaii, USA, Oct. 12-17, 2008), #2283.
K. Makihara, M. Ikeda, S. Higashi, Y. Hata, A. Kuroda, and S. Miyazaki, “AFM/KFM Detection of Si-tagged ProteinA on HF-last Si(100), Thermally Grown SiO2 and Si-QDs Surfaces,” 4th Int. Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), (Sendai, Japan, Sept. 25-27, 2008), pp. 39-40.
K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of Ultra High Density Si-based Quantum Dots on Ultrathin SiO2,” 4th Int. Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), (Sendai, Japan, Sept. 25-27, 2008), pp. 37-38.
T. Okada, S. Higashi, H. Kaku, and S. Miyazaki, “Photoluminescent Properties of Thermal Plasma Jet Annealed SiOx Films Prepared by Plasma Enhanced Chemical Vapor Deposition,” 1st Int. Conf. Microelectronics and Plasma Technol. (ICMAP 2008), (Jeju, Korea, Aug. 18-20, 2008), p. 192.
H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “Millisecond Rapid Thermal Annealing of Si Wafer Induced by High Density Thermal Plasma Jet Irradiation,” Ext. Abs. 2008 Int. Conf. Solid Sate Dev. Mat. (SSDM2008), (Tsukuba, Japan, Sept. 24-26, 2008), pp. 852-853.
A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Tanioku, M. Horikawa, and A. Ogishima, “Photoemission Study of Chemical Bonding Features and Electronic States of Ultrathin HfTixOy/Pt System,” Ext. Abs. 2008 Int. Conf. Solid Sate Dev. Mat. (SSDM2008), (Tsukuba, Japan, Sept. 24-26, 2008), pp. 684-685.
K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi, and S. Miyazaki, “Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories,” 2008 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2008), (Hokkaido, Japan, Jul. 9-11, 2008), pp. 77-80.
H. Kaku, K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Electrical Properties of Highly Crystallized Ge:H Thin Films Grown from VHF Inductively-Coupled Plasma of H2-diluted GeH4,” 2008 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2008), (Hokkaido, Japan, Jul. 9-11, 2008), pp. 271-274.
S. Mahboob, K. Makihara, H. Kaku, M. Ikeda, S. Higashi, S. Miyazaki, and A. Kuroda, “Electrical Detection of Si-tagged Proteins on HF-last Si(100) and Thermally Grown SiO2 Surfaces,” 2008 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2008), (Hokkaido, Japan, Jul. 9-11, 2008), pp. 155-158.
H. Kaku, S. Higashi, T. Yorimoto, T. Okada, H. Furukawa, and S. Miyazaki, “Application of Thermal Plasma Jet Annealing to Channel Crystallization and Doping for Thin Film Transistor Fabrication,” Dig. Tech. Pap. AM-FPD08, (Tokyo, Japan, Jul. 2-4, 2008), pp. 33-36.
H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “In-situ Monitoring of Si Wafer Temperature during Millisecond Rapid Thermal Annealing,” 213th Electrochem. Soc. (ECS) Meeting Abs. (Phoenix, USA, May. 18-22, 2008), p. 620.
K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki, “Selective Growth of Self-Assembling Si and SiGe Quantum Dots,” 4th Int. SiGe Technol. and Dev. Meeting (ISTDM2008), (Hsinchu, Taiwan, May 11-14, 2008), pp. 147-148.
H. Murakami, M. Miura, A. Ohta, R. Yougauchi, S. Higashi, and S. Miyazaki, “Photoemission Study of Ultrathin Germanium Oxide/Ge(100) Interfaces,” 4th Int. SiGe Technol. and Dev. Meeting (ISTDM2008), (Hsinchu, Taiwan, May 11-14, 2008), pp. 165-166.
A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Thermal Stability of HfO2/SiON/Ge(100) Stacked Structure by using Photoemission Spectroscopy,” 4th Int. SiGe Technol. and Dev. Meeting (ISTDM2008), (Hsinchu, Taiwan, May 11-14, 2008), pp. 88-89.
広重 康夫、東 清一郎、加久 博隆、岡田 竜弥、古川 弘和、松本 和也、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理によるSiO2膜の膜質改善(II)”、 第56回応用物理学関係連合講演会 講演予稿集 No. 2, p. 884 (2009.3.30-4.2、筑波大学 筑波キャンパス).
松本 和也、東 清一郎、古川 弘和、岡田 竜弥、宮崎 誠一、“ 高パワー密度熱プラズマジェット照射ミリ秒急速熱処理によるSiウエハ中Bの活性化”、 第56回応用物理学関係連合講演会 講演予稿集 No. 2, p. 881 (2009.3.30-4.2、筑波大学 筑波キャンパス).
岡田 竜弥、東 清一郎、加久 博隆、古川 弘和、宮崎 誠一、“SiOx膜へのプラズマジェット照射ミリ秒熱処理によるSiナノ結晶形成とそのフローティングゲートメモリ応用(II)”、 第56回応用物理学関係連合講演会 講演予稿集 No. 2, p. 877 (2009.3.30-4.2、筑波大学 筑波キャンパス).
木庭 直浩、東 清一郎、松本 竜弥、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“マイクロ融液プロセスによる高結晶性Siの形成”、 第56回応用物理学関係連合講演会 講演予稿集 No. 2, p. 877 (2009.3.30-4.2、筑波大学 筑波キャンパス).
岡田 竜弥、東 清一郎、加久 博隆、古川 弘和、村上 秀樹、宮崎 誠一、“熱プラズマジェットミリ秒熱処理を用いたSiOx薄膜からのSiナノ結晶形成とその電荷注入特性”、 第56回応用物理学関係連合講演会 講演予稿集 No. 1, p. 234 (2009.3.30-4.2、筑波大学 筑波キャンパス).
岡田 竜弥、東 清一郎、加久 博隆、古川 弘和、宮崎 誠一、“SiOx膜への熱プラズマジェット照射ミリ秒熱処理によるSiナノ結晶形成とそのフローティングゲートメモリ応用”、薄膜材料デバイス研究会 第5回研究集会、 P07(pp.106-108)(2008.10.31-11.1、なら100年会館).
加久 博隆、東 清一郎、岡田 竜弥、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理によるa-Ge薄膜結晶化”、薄膜材料デバイス研究会 第5回研究集会、 P08(pp.109-111)(2008.10.31-11.1、なら100年会館).
古川 弘和、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“高密度熱プラズマジェット照射ミリ秒急速熱処理による極浅接合形成”、薄膜材料デバイス研究会 第5回研究集会、 P10(pp.112-114)(2008.10.31-11.1、なら100年会館).
木庭 直浩、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“Siマイクロ融液プロセスにおける基板表面のミリ秒昇降温特性”、薄膜材料デバイス研究会 第5回研究集会、 P11(pp.115-117)(2008.10.31-11.1、なら100年会館).
山本 雄治、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“アモルファスSi微細構造の固相結晶化”、 薄膜材料デバイス研究会 第5回研究集会、 P13(pp.121-122)(2008.10.31-11.1、なら100年会館)
広重 康夫、東 清一郎、岡田 竜弥、加久 博隆、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理によるSiO₂膜の膜質改善”、薄膜材料デバイス研究会 第5回研究集会、 P67(pp.233-235)(2008.10.31-11.1、なら100年会館).
東 清一郎、“Poly-Si薄膜材料デバイスの5年間”、薄膜材料デバイス研究会 第5回研究集会、 T02(pp.2-7)(2008.10.31-11.1、なら100年会館).チュートリアル
岡田 竜弥、東 清一郎、加久 博隆、古川 弘和、宮崎 誠一、“SiOx膜へのプラズマジェット照射ミリ秒熱処理によるSiナノ結晶形成とそのフローティングゲートメモリ応用”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 745 (2008.9.2-5、中部大学).
広重 康夫、東 清一郎、加久 博隆、岡田 竜弥、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理によるSiO2膜の膜質改善”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 740 (2008.9.2-5、中部大学).
古川 弘和、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“高密度熱プラズマジェット照射によるSiウエハ表面のミリ秒急速熱処理”、第69回応用物理学会学術講演会 講演予稿集 No. 1, p. * (2008.9.2-5、中部大学).
古川 弘和、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“高密度熱プラズマジェット照射ミリ秒急速熱処理による不純物活性化”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 736 (2008.9.2-5、中部大学)
山本 雄治、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“アモルファスSi微細構造の固相結晶化”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 731 (2008.9.2-5、中部大学).
木庭 直浩、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“Siマイクロ融液プロセスにおける基板表面のミリ秒昇降温特性”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 730 (2008.9.2-5、中部大学).
加久 博隆、東 清一郎、岡田 竜弥、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理によるa-Ge薄膜の結晶化”、第69回応用物理学会学術講演会 講演予稿集 No. 2, p. 731 (2008.9.2-5、中部大学).

2007年度

T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, and M. Kondo, “Formation of Low-Defect-Concentration Polycrystalline Si Films by Thermal Plasma Jet Crystallization and Their application to Thin-Film Transistor,” Mat. Res. Soc. Spring Meeting (San Francisco, USA, Mar. 24-28, 2008), A12.3.
H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “Impact of Annealing condition on the Efficiency of Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films,” 1st Int. Conf.  Plasma-NanoTechnol. Sci. (IC-PLANTS2008), (Nagoya, Japan, Mar. 13-14, 2008), p. 5.
T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki, “Photoluminescent Properties of SiOx Films Formed by Plasma Enhanced Chemical Vapor Deposition,” 1st Int. Conf. Plasma-NanoTechnol. Sci. (IC-PLANTS2008), (Nagoya, Japan, Mar. 13-14, 2008), p. 10.
Y. Ono, H. Kaku, K. Makihara, S. Higashi, and S. Miyazaki, “High Rate Growth of Highly Crystallized Ge:H Thin Films from VHF Inductively-Coupled Plasma of GeH4,” 1st Int. Conf. Plasma-NanoTechnol. Sci. (IC-PLANTS2008), (Nagoya, Japan, Mar. 13-14, 2008), p. 4.
H. Kaku, S. Higashi, T. Yorimoto, T. Okada, H. Furukawa, H. Murakami, and S. Miyazaki, “Formation of Source and Drain for Polycrystalline Si Thin Film Transistors Using Thermal Plasma Jet Induced Impurity Activation,” Proc. 4th Int. TFT Conf. (Seoul, Korea, Jan. 24-25, 2008), pp. 331-334.
T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki, “Photoluminescence of SiOx Films Annealed by Millisecond Irradiation of Thermal Plasma Jet,” Abs. 18th Symp Mat. Res. Soc. Jpn., (Tokyo, Japan, Dec. 7-9, 2007), p.197. [This paper received “Award for Encouragement of Research of Materials Science (Young Scientist)”]
T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, and M. Kondo, “Formation of Low-Defect-Concentration Polycrystalline Si Films by Thermal Plasma Jet Crystallization Technique,” Proc. Int. Symp. Dry Process (DPS2007), (Tokyo, Japan, Nov. 13-14, 2007), pp. 157-158. [This paper received “DPS2007 Young Researcher Award”]
H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “High Efficiency Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si Films,” Ext. Abs. 5th Int. Symp. Control of Semiconductor Interfaces (ISCSI-V), (Tokyo, Japan, Nov. 12-14, 2007), pp. 51-52.
R. Yougauchi, A. Ohta, Y. Munetaka, H. Murakami, S. Higashi, and S. Miyazaki, “The Impact of Post Deposition NH3-Anneal on La Oxide Films Formed by MOCVD Using La(DPM)3,” Ext. Abs. 5th Int. Symp. Control of Semiconductor Interfaces (ISCSI-V), (Tokyo, Japan, Nov. 12-14, 2007), pp. 227-228.
H. Yoshinaga, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, and Y. Nara, “Evaluation of Effective Work Function in Ru/HfSiON/SiO2 Gate Stack Structures – Thickness Dependence in Bottom SiO2 layer,” Ext. Abs. 5th Int. Symp. Control of Semiconductor Interfaces (ISCSI-V), (Tokyo, Japan, Nov. 12-14, 2007), pp. 181-182.
A. Ohta, H. Yoshinaga, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima and Y. Nara, “Impact of Low Temperature Anneal on Effective Work Function and Chemical Bonding Features for Ru/HfSiON/SiON Gate Stack,” Ext. Abs. 5th Int. Symp. Control of Semiconductor Interfaces (ISCSI-V), (Tokyo, Japan, Nov. 12-14, 2007), pp. 215-216.
K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of PtSi Nanodots Induced by Remote H2 Plasma,” 3rd Int. Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), (Sendai, Japan, Nov. 8-9, 2007), pp. 37-38.
M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi, and S. Miyazaki, “Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors,” 3rd Int. Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), (Sendai, Japan, Nov. 8-9, 2007), pp. 35-36.
A. Ohta, R. Yougauchi, H. Murakami, S. Higashi, and S. Miyazaki, “Photoemission Study of Chemical Bonding Features and Electronic States of Ultrathin HfLaxOy Film,” Int. Conf. Atomic Control Surface and Interface-9 (ACSIN-9), (Tokyo, Japan, Nov. 11-15, 2007), p. 176.
R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique,” Sixth Pacific Rim Int. Conf. Advanced Materials and Processing (PRICM6), (Jeju, Korea, Nov. 6-9, 2007), p. 73.
T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki, “High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2,” Sixth Pacific Rim Int. Conf.  Advanced Materials and Processing (PRICM6), (Jeju, Korea, Nov. 6-9, 2007), p. 74.
K. Sugakawa, S. Higashi, H. Kaku, T. Okada, H. Murakami, and S. Miyazaki, “Rapid Phase Transformation of Amorphous Ge Films Induced by Semiconductor Diode Laser Irradiation,” Abs. Laser Processing Semiconductor Devices: Science & Technol. (LPSD ’07), (Saint-Malo, France, Oct. 1-2, 2007), p. 23.
[Invited] S. Higashi, “Application of Thermal Plasma Jet to Crystallization of Amorphous Si Films on Glass Substrate and Thin Film Transistor Fabrication,” 6th Asian-European Int. Conf. Plasma Surf. Eng. (AEPSE 2007) Workshop on Flat-panel and Flexible Devices (Nagasaki, Japan, Sept. 28, 2007), p.1.
H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “In-situ Measurement of Temperature Variation in Si Wafer During Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation,” Ext. Abs. 2007 Int. Conf. Solid Sate Dev. Mat. (SSDM2007), (Tsukuba, Japan, Sept. 19-21, 2007), pp. 376-377.
K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, and S. Miyazaki, “Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics,” Ext. Abs. 2007 Int. Conf. Solid Sate Dev. Mat. (SSDM2007), (Tsukuba, Japan, Sept. 19-21, 2007), pp. 1108-1109.
K. Okuyama, K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Electroluminescence from Multiple-Stacked Structures of Impurity Doped Si Quantum Dots,” Ext. Abs. 2007 Int. Conf. Solid Sate Dev. Mat. (SSDM2007), (Tsukuba, Japan, Sept. 19-21, 2007), pp. 106-107.
R. Matsumoto, M. Ikeda, S. Higashi, and S. Miyazaki, “Characterization of Multistep Electron Charging and Discharging of Silicon-Quantum-Dots Floating Gate by Applying Pulsed Gate Biases,” Ext. Abs. 2007 Int. Conf. Solid Sate Dev. Mat. (SSDM2007), (Tsukuba, Japan, Sept. 19-21, 2007), pp. 1112-1113.
H. Kaku, S. Higashi, H. Furukawa, T. Okada, H. Murakami, and S. Miyazaki, “Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si Films,” Dig. Tech. Pap. AM-FPD07, (Hyogo, Japan, Jul. 11-13, 2007), pp. 33-36.
Y. Miyazaki, S. Higashi, T. Karakawa, H. Murakami, and S. Miyazaki, “Nucleation Control in the Initial Stage of Microcrystalline Silicon Film Growth Using Plasma Pretreatment,” Abs. 20th Symp. Plasma Sci. Mat. (SPSM-20), (Nagoya, Japan, Jun. 21-22, 2007), p. 94.
K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM,” 2007 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2007), (Gyeongju, Korea, Jun. 25-27, 2007), pp. 251-254.
K. Okuyama, K. Makihara, A. Ohta, H. Murakami, M. Ikeda, S. Higashi, and S. Miyazaki, “Impact of Boron Doping to Si Quantum Dots on Light Emission Properties,” 2007 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2007), (Gyeongju, Korea, Jun. 25-27, 2007), pp. 135-138.
A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, and Y. Nara, “Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack,” 15th Biannual Conf. Insulating Films on Semiconductors 2007 (INFOS2007), (Glyfada, Greece, Jun. 19-23, 2007), pp. 251-254.
K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi, and S. Miyazaki, “Phosphorus Doping to Si Quantum Dots for Floating Gate Application,” 2007 Silicon Nanoelectronics Workshop (Kyoto, Japan, Jun. 10-11, 2007), pp. 161-162.
K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of Ni Nanodots Induced by Remote Hydrogen Plasma,” European Mat. Res. Soc. (E-MRS) 2007 Spring Meeting (Strasbourg, France, May 28- Jun. 1, 2007), K-3 4.
K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics,” 5th Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-5), (Marseille, France, May 20-25, 2007), pp. 313-314.
T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki, “Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4,” 5th Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-5), (Marseille, France, May 20-25, 2007), pp. 214-215.
K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2,” 2007 Int. Meeting Future Electron Dev. Kansai (IMFEDK2007), (Osaka, Japan, Apr. 23-24, 2007), pp. 121-122.
H. Kaku, S. Higashi, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “High Efficiency Activation of Phosphorus Atoms Induced by Thermal Plasma Jet Crystallization of Doped Amorphous Si Films,” Abs. 2007 Mat. Res. Soc. Spring Meeting (San Francisco, USA, Apr. 9-13, 2007), p. 27.
加久 博隆、東 清一郎、寄本 拓也、古川 弘和、岡田 竜弥、村上 秀樹、宮崎 誠一、”熱プラズマジェット照射ミリ秒急速熱処理によるSi膜中ドーパントの活性化(II),” 第55回応用物理学関係連合講演会 講演予稿集 No. 2, p. 899 (2008.3.27-30、日本大学理工学部船橋キャンパス).
古川 弘和、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、”高密度熱プラズマジェット照射によるSiウエハ表面のミリ秒超急速熱処理,” 第55回応用物理学関係連合講演会 講演予稿集 No. 2, p. 898 (2008.3.27-30、日本大学理工学部船橋キャンパス).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“プラズマCVDにより堆積したSiOx薄膜からのフォトルミネッセンス”、 第55回応用物理学関係連合講演会 講演予稿集 No. 2, p. 883 (2008.3.27-30、日本大学理工学部船橋キャンパス).
広重 康夫、東 清一郎、岡田 竜弥、寄本 拓也、宮崎 誠一、“マルチホロー電極を用いた反応性スパッタリングによるSiO2膜の低温形成”、 第55回応用物理学関係連合講演会 講演予稿集 No. 2, p. 881 (2008.3.27-30、日本大学理工学部船橋キャンパス).
古川 弘和、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“ミリ秒急速熱処理におけるSiウエハ内温度変化のその場観測”、電子情報通信学会技術研究報告、17 (388) pp. 27-29. (2007.12.14、奈良先端科学技術大学院大学).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェットミリ秒熱処理したSiOx薄膜のフォトルミ”、ネッセンス”、薄膜材料デバイス研究会 第4回研究集会、 P-13(pp.150-151)(2007.11.2-3、龍谷大学).
古川 弘和、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“熱プラズマジェットを用いたミリ秒急速熱処理におけるSiウエハ内温度変化のその場観測”、薄膜材料デバイス研究会 第4回研究集会、 P-14(pp.152-154)(2007.11.2-3、龍谷大学).
寄本 拓也、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット結晶化Poly-Si膜の欠陥密度評価”、薄膜材料デバイス研究会 第4回研究集会、 P-18(pp.161-163)(2007.11.2-3、龍谷大学).
加久 博隆、東 清一郎、古川 弘和、岡田 竜弥、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射急速熱処理によるSi膜中ドーパントの活性化”、薄膜材料デバイス研究会 第4回研究集会、 P-19(pp.164-166)(2007.11.2-3、龍谷大学).
菅川 賢治、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“半導体レーザ光照射ミリ秒急速熱処理によるa-Ge:H膜の相変化過程のその場観測”、薄膜材料デバイス研究会 第4回研究集会、 P-20(pp.167-169)(2007.11.2-3、龍谷大学).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射ミリ秒熱処理したSiOx薄膜のフォトルミネッセンス”、第68回応用物理学会学術講演会 講演予稿集 No. 2, p. 869 (2007.9.4-8、北海道工業大学).
菅川 賢治、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“半導体レーザー光照射ミリ秒急速熱処理によるa-Ge:H膜の相変化過程のその場観測”、第68回応用物理学会学術講演会 講演予稿集 No. 2, p. 867 (2007.9.4-8、北海道工業大学).
加久 博隆、東 清一郎、古川 弘和、岡田 竜弥、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェットミリ秒急速熱処理によるSi膜中ドーパントの活性化”、第68回応用物理学会学術講演会 講演予稿集 No. 2, p. 854 (2007.9.4-8、北海道工業大学).
古川 弘和、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“ミリ秒急速熱処理におけるSiウエハ表面温度の非接触測定”、第68回応用物理学会学術講演会 講演予稿集 No. 2, p. 849 (2007.9.4-8、北海道工業大学).
寄本 拓也、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット結晶化Si膜の欠陥密度評価(II)”、第68回応用物理学会学術講演会 講演予稿集 No. 2, p. 848 (2007.9.4-8、北海道工業大学).

2006年度

S. Higashi, H. Kaku, T. Okada, H. Murakami, and S. Miyazaki, “Ultrarapid thermal annealing induced by DC arc discharge plasma jet its application,” Proc. 5th Int. Symp. Nanotechnol. JAPAN NANO 2007 (Tokyo, Japan, Feb. 20-21, 2007), pp. 144-145.
S. Higashi, H. Kaku, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “Ultrarapid Thermal Annealing Induced by DC Arc Discharge Plasma Jet Irradiation,” Ext. Abs. Fifth Hiroshima Int. Workshop Nanoelectronics for Tera-Bit Information Processing (Tokyo, Japan, Jan. 29-30, 2007), pp. 68-69.
T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki, “Growth of Si Crystalline in SiOx Films Induced by Millisecond Rapid Thermal Annealing Using Thermal Plasma Jet,” Proc. 3rd Int. TFT Conf. (Rome, Italy, Jan. 25-26, 2007), pp. 82-85.
S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “Comparison of Defect Densities in Excimer Laser and Thermal Plasma Jet Crystallized Si Films,” Proc. 3rd Int. TFT Conf. (Rome, Italy, Jan. 25-26, 2007), pp. 204-207.
T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, M. Maki, and T. Sameshima, “Electrical Characteristics of Lightly-Doped Si Films Crsytallized by Thermal Plasma Jet Irradiation,” Abs. 17th Symp Mat. Res. Soc. Jpn. (Tokyo, Japan, Dec. 8-10, 2006), p. 178.
K. Sakaike, S. Higashi, H. Kaku, T. Sakata, H. Murakami, and S. Miyazaki, “Crystallization of Amorphous Ge Films Induced by Semiconductor Diode Laser Annealing,” Proc. Int. Symp. Dry Process, (Aichi, Japan, Nov. 29-30, 2006), pp. 25-26.
T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, and S. Miyazaki, “Effect of He Addition on the Heating Characteristics of Substrate Surface Irradiated by Ar Thermal Plasma Jet,” Proc. Int. Symp. Dry Process (Aichi, Japan, Nov. 29-30, 2006), pp. 317-318.
T. Karakawa, S. Higashi, H. Murakami, and S. Miyazaki, “Nucleation Study of Hydrogenated Microcrystalline Silicon (mc-Si:H) Films Deposited by VHF-ICP,” Proc. Int. Symp. Dry Process (Aichi, Japan, Nov. 29-30, 2006), pp. 31-32.
K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Study of Charged states of Si Quantum Dots with Ge Core,” 210th Electrochem. Soc. (ECS) Meeting: SiGe & Ge Materials, Processing, and Device Symp. (Cancun, Mexico, Oct. 29 – Nov. 3, 2006), #1425.
S. Higashi, H. Kaku, T. Okada, H. Murakami, and S. Miyazaki, “Ultrarapid Thermal Annealing Induced by Plasma Jet Irradiation and Its Application to Thin Film Transistor Fabrication,” Ext. Abs. Int. 21st Century COE Symp. Atomistic Fabrication Tech. (Osaka, Japan, Oct. 19-20, 2006), pp. 55-56.
A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii, and Y. Nara, “Characterization of Dielectric Stack Structures of Hafnium Silicate and Silicon Oxynitride formed on Si(100),” 2006 Int. Workshop  Dielectric Thin Films for Future ULSI Dev. (IWDTF2006), (Kawasaki, Japan, Nov. 8-10, 2006), pp. 31-32.
H. Nakagawa, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Photoemission Study of HfO2/Ge(100) Stacked Structures,” 2006 Int. Workshop  Dielectric Thin Films for Future ULSI Dev. (IWDTF2006), (Kawasaki, Japan, Nov. 8-10, 2006), pp. 13-14.
Y. Pei, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, T. Akasaka, and Y. Nara, “Analysis of Leakage Current through Ultrathin HfSiOxN/SiO2 Stack Gate Dielectric Capacitors with TiN/W/TiN Gate,” 2006 Int. Workshop Dielectric Thin Films for Future ULSI Dev. (IWDTF2006), (Kawasaki, Japan, Nov. 8-10, 2006), pp. 107-108.
H. Nakagawa, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Chemical Bonding Features of Silicon Oxynitride Films Formed on Ge(100) Surfaces,” Abs. 2nd Int. Workshop New Group IV Semiconductor Nanoelectronics (SiGe(C)2006), (Sendai, Japan, Oct. 2-3, 2006), pp. 63-64.
T. Sakata, K. Makihara, S. Higashi, and S. Miyazaki, “Formation of Highly-Crystallized Ge:H Films form VHF Inductively-Coupled Plasma of GeH4,” Abs. 2nd Int. Workshop New Group IV Semiconductor Nanoelectronics (SiGe(C)2006), (Sendai, Japan, Oct. 2-3, 2006), pp. 61-62.
K. Sakaike, S. Higashi, H. Kaku, T. Sakata, H. Murakami, and S. Miyazaki, “Semiconductor Diode Laser Annealing of Amorphous Ge Films,” Abs. 2nd Int. Workshop New Group IV Semiconductor Nanoelectronics (SiGe(C)2006), (Sendai, Japan, Oct. 2-3, 2006), pp. 65-66.
A. Ohta, H. Yoshinaga, H. Murakami, D. Azuma, Y. Munetaka, S. Higashi, S. Miyazaki, T. Aoyama, K. Hosaka, and K. Shibahara, “Evaluation of Chemical Structures and Work Function of NiSi near the Interface between Nickel Silicide and SiO2,” 2006 Int. Conf. Solid State Dev. Mat. (SSDM2006), (Yokohama, Japan, Sept. 13-15, 2006), pp. 216-217.
K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique,” Int. Union Mat. Res. Soc.- Int. Conf. in Asia (IUMRS-ICA 2006), (Jeju, Korea, Sept. 10-14, 2006), p. 82.
K. Sakaike, S. Higashi, H. Kaku, H. Murakami, and S. Miyazaki, “Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation,” Dig. Tech. Pap. AM-FPD06, (Tokyo, Japan, Jul. 5-7, 2006), pp. 271-272.
T. Okada, S. Higashi, N. Koba, H. Kaku, H. Murakami, and S. Miyazaki, “Impact of He Addition on the Substrate Surface Temperature During Rapid Thermal Annealing Induced by Ar Thermal Plasma Jet Irradiation,” Abst. 8th Int. Conf. Advanced Surf. Eng., (Tokyo, Japan, Apr. 25-26, 2006), p. 73.
K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Phosphorus Doping to Si Quantum Dots and Its Floating Gate Application,” 2006 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2006), (Sendai, Japan, Jul. 3-5, 2006), pp. 135-138.
K. Makihara, T. Nagai, M. Ikeda, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “Charging and Discharging Characteristics of P-doped Si Quantum Dots Floating Gate,” 2006 Int. Meeting for Future of Electron Dev. Kansai (IMFEDK2006), (Kyoto, Japan, Apr. 24-26, 2006), pp. 67-68.
H. Kaku, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki, “Correlation between Annealing Temperature and Crystallinity of Si Films Prepared by Thermal Plasma Jet Crystallization Technique,” Abst. 2006 Mat. Res. Soc. Spring Meeting, (San Francisco, USA, Apr. 17-21, 2006), pp. 43-44.
酒池 耕平、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“半導体レーザー光照射によるa-Ge:H膜のミリ秒時間相変化過程のその場観測”、第54回応用物理学関係連合講演会 講演予稿集 No. 2, p. 898 (2007.3.27-30、青山学院大学).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射により超急速熱処理したSiOx薄膜のフォトルミネッセンス”、第54回応用物理学関係連合講演会 講演予稿集 No. 2, p. 899 (2007.3.27-30、青山学院大学).
加久 博隆、東 清一郎、古川 弘和、岡田 竜弥、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射超急速熱処理によるSi膜中ドーパントの活性化”、第54回応用物理学関係連合講演会 講演予稿集 No. 2, p. 907 (2007.3.27-30、青山学院大学).
寄本 拓也、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、松井 卓矢、増田 淳、近藤 道雄、“熱プラズマジェット結晶化Si膜の欠陥密度評価”、第54回応用物理学関係連合講演会 講演予稿集 No. 2, p. 917 (2007.3.27-30、青山学院大学).
辛川 孝行、東 清一郎、村上 秀樹、宮崎 誠一、“水素プラズマ前処理による微結晶シリコン(μc-Si:H)成長初期過程の核発生制御”、第54回応用物理学関係連合講演会 講演予稿集 No. 2, p. 976 (2007.3.27-30、青山学院大学).
酒池 耕平、東 清一郎、加久 博隆、村上 秀樹、宮崎 誠一、“CW半導体レーザ照射によるa-Ge:H膜の結晶化”、薄膜材料デバイス研究会 第3回研究集会、 B-15(pp.93-94)(2006.11.10-11、あすなら会議場).
辛川 孝行、東 清一郎、村上 秀樹、宮崎 誠一、“VHF-1CPによる微結晶シリコン堆積初期過程における核発生制御”、薄膜材料デバイス研究会 第3回研究集会、 B-18(pp.102-104)(2006.11.10-11、あすなら会議場).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェットを用いたSiOx薄膜の急速熱処理によるSi結晶成長”、薄膜材料デバイス研究会 第3回研究集会、 B-20(pp.107-108)(2006.11.10-11、あすなら会議場).
寄本 拓也、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット結晶化微量ドープSi膜の欠陥評価”、薄膜材料デバイス研究会 第3回研究集会、 B-29(pp.130-132)(2006.11.10-11、あすなら会議場).
加久 博隆、東 清一郎、寄本 拓也、岡田 竜弥、村上 秀樹、宮崎 誠一、“a-Si膜の熱プラズマジェット結晶化における基板加熱効果”、薄膜材料デバイス研究会 第3回研究集会、 B-31(pp.136-138)(2006.11.10-11、あすなら会議場).
辛川 孝行、東 清一郎、村上 秀樹、宮崎 誠一、“VHF-ICPによる微結晶シリコン(μc-Si:H)膜堆積初期過程における結晶核形成”、第67回応用物理学会学術講演会 講演予稿集 No. 2, p. 829 (2006.8.29-9.1、立命館大学).
岡田 竜弥、東 清一郎、加久 博隆、寄本 拓也、村上 秀樹、宮崎 誠一、“熱プラズマジェットを用いたSiOx薄膜の急速熱処理によるSiナノ結晶成長”、第67回応用物理学会学術講演会 講演予稿集 No. 2, p. 777 (2006.8.29-9.1、立命館大学).
寄本 拓也、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、牧 正人、鮫島 俊之、“熱プラズマジェット結晶化微量ドープSi膜の電気特性評価”、第67回応用物理学会学術講演会 講演予稿集 No. 2, p. 762 (2006.8.29-9.1、立命館大学).
酒池 耕平、東 清一郎、坂田 務、加久 博隆、村上 秀樹、宮崎 誠一、“半導体レーザによるa-Ge:H膜の急速熱処理”、第67回応用物理学会学術講演会 講演予稿集 No. 2, p. 758 (2006.8.29-9.1、立命館大学).
加久 博隆、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるa-Si膜のミリ秒相変化温度の測定”、 応用物理学会中国四国支部2006年度支部学術講演会 講演予稿集 p 115 (2006.7.29、徳島大学)

2005年度

H. Kaku, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki, “Direct Observation of Millisecond Phase Transformation in a-Si Films Induced by Thermal Plasma Jet Irradiation,” Proc. 2nd Int. TFT Conf., (Kitakyushu, Japan, Jan. 19-20, 2006), pp. 214-217.
[Invited] S. Higashi, “Rapid Thermal Annealing Technique Using Thermal Plasma Jet and Its Application to Thin Film Transistor Fabrication,” Tech. Dig. 12th Int. Display Workshop/Asia Display 2005, (Takamatsu, Japan, Dec. 6-9, 2005), pp. 285-288.
A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Photoemission Study of Ultrathin GeO2/Ge Heterostructures Formed by UV-O3 Oxidation,” 4th Int. Symp. Surf. Sci. Nanotechnology (ISSS-4), (Omiya, Japan, Nov. 14-17, 2005), p. 543.
T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, and S. Miyazaki, “Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet,” Proc. Int. Symp. Dry Process, (Jeju, Korea, Nov. 28-30, 2005), pp. 405-406.
T. Sakata, K. Makihara, S. Higashi, and S. Miyazaki, “Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4,” Proc. Int. Symp. Dry Process, (Jeju, Korea, Nov. 28-30, 2005), pp. 233-234.
S. Higashi, T. Okada, N. Fujii, N. Koba, H. Murakami, and S. Miyazaki, “Formation of Si Nano-Crystals by Millisecond Annealing of SiOx Films using Thermal Plasma Jet,” Ext. Abs. Fourth Hiroshima Int. Workshop Nanoelectronics Tera-Bit Information Processing, (Hiroshima, Japan, Sept. 16, 2005), pp. 96-97.
T. Nagai, M. Ikeda, Y. Shimizu, S. Higashi, and S. Miyazaki, “Characterization of MultiStep Electron Charging to Silicon-Quantum-Dot Floating Gate by Applying Pulsed Gate Biases,” 2005 Int. Conf. Solid State Dev. Mat. (SSDM2005), (Kobe, Japan. Sept. 12-15, 2005), pp. 174-175.
[Invited] S. Higashi, “Rapid Thermal Annealing of Thin Films in Millisecond Time Domain Using Thermal Plasma Jet,” Dig. Tech. Pap. AM-LCD 05, (Kanazawa, Japan, Jul. 6-8, 2005), pp. 75-78.
T. Okada, S. Higashi, H. Kaku, H. Murakami, and S. Miyazaki: “Analysis of Transient Temperature Profile During Thermal Plasma Jet Annealing of Si Films on Quartz Substrate,” Dig. Tech. Pap. AM-LCD 05, (Kanazawa, Japan, Jul. 6-8, 2005), pp. 171-174.
J. Nishitani, K. Makihara, Y. Darma, H. Murakami, S. Higashi, and S. Miyazaki, “Experimental Evidence of Coulombic Interaction among Stored Charges in Single Si Dot as Detected By AFM/Kelvin Probe Technique,” 2005 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2005), (Seoul, Korea, Jun. 28-30, 2005), pp. 177-180.
K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “The Application of Multiple-Stacked Si Quantum Dots to Light Emitting Diodes,” 2005 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2005), (Seoul, Korea, Jun. 28-30, 2005), pp. 173-176.
H. Nakagawa, F. Takeno, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Chemical Bonding Features of NH3-Annealed Hafnium Oxides Formed on Si(100),” 8th Atomically Controlled Surf., Interfaces and Nanostructures (ACSIN-8), (Stockholm, Sweden, Jun. 19-23, 2005), p. 102.
J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots,” 2005 China Int. Conf. Nanoscience & Technol., (Beijing, China, Jun. 9-11, 2005), p. 126.
K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “Fabrication of Multiply-Stacked Structures Consisting of Si-QDs with Ultrathin SiO2 and Its Application of Light Emitting Diodes,” 1st Int. Workshop New GroupIV Semiconductor Nanoelectronics (SiGe(C)2005), (Sendai, Japan, May. 27-28, 2005), pp. 47-48.
J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique,” Abs. Fourth Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-4), (Awaji Island Hyogo, Japan, May. 23-26, 2005), pp. 294-295.
K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/KFM Probe,” Abs. Fourth Int. Conf. Silicon Epitaxy and Heterostructures (ICSI-4), (Awaji Island Hyogo, Japan, May. 23-26, 2005), pp. 32-33.
A. Yamashita, Y. Okamoto, S. Higashi, S. Miyazaki, H. Watakabe, and T. Sameshima, “In-situ Observation of Rapid Crystalline Growth Induced by Excimer Laser Irradiation to Ge/Si Stacked Structure,” Abs. Fourth Int. Conf.  Silicon Epitaxy and Heterostructures (ICSI-4), (Awaji Island Hyogo, Japan, May 23-26, 2005), pp. 44-45.
K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, and S. Miyazaki, “Light Emitting Devices from Multilayered Si Quantum Dots Structures,” 2005 Int. Meeting Future of Electron Dev. Kansai (IMFEDK2005), (Kyoto, Japan, Apr. 11-13, 2005), pp. 93-94.
H. Kaku, S. Higashi, T. Okada, H. Murakami, S. Miyazaki, H. Watakabe, N. Andoh, and T. Sameshima, “Fabrication of Polycrystalline Si Thin Film Transistor using Plasma Jet Crystallization Technique,” 2005 Int. Meeting Future of Electron Dev. Kansai (IMFEDK2005), (Kyoto, Japan, Apr. 11-13, 2005), pp. 91-92.
辛川 孝行、東 清一郎、村上 秀樹、宮崎 誠一、“VHF-ICPによる微結晶シリコン(mc-Si:H)膜堆積初期過程における核発生制御”、第53回応用物理学関係連合講演会 講演予稿集 No. 2, p. 975 (2006.3.22-26、武蔵工業大学).
酒池 耕平、東 清一郎、加久 博隆、岡田 竜弥、村上 秀樹、宮崎 誠一、“半導体レーザによる微結晶Si膜の急速熱処理”、第53回応用物理学関係連合講演会 講演予稿集 No. 2, p. 968 (2006.3.22-26、武蔵工業大学).
岡田 竜弥、東 清一郎、加久 博隆、木庭 直浩、村上 秀樹、宮崎 誠一、“熱プラズマジェットを用いた急速熱処理によるSiOx薄膜からのSiナノ結晶成長制御”、第53回応用物理学関係連合講演会 講演予稿集 No. 2, p. 909 (2006.3.22-26、武蔵工業大学).
加久 博隆、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるa-Si膜のミリ秒領域相変化過程の直接観測”、第53回応用物理学関係連合講演会 講演予稿集 No. 2, p. 890 (2006.3.22-26、武蔵工業大学).
木庭 直浩、東 清一郎、岡田 竜弥、加久 博隆、村上 秀樹、宮崎 誠一、“He添加Ar熱プラズマジェット熱処理による基板温度特性評価”、第53回応用物理学関係連合講演会 講演予稿集 No. 2, p. 890 (2006.3.22-26、武蔵工業大学).
東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“SiOx薄膜のミリ秒高温熱処理によるSiナノ結晶作製”、薄膜材料デバイス研究会 第2回研究集会、 A-8(pp.43)(2005.11.4-5、龍谷大学).
加久 博隆、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるミリ秒領域でのSi薄膜結晶化過程の解析”、薄膜材料デバイス研究会 第2回研究集会、 A-9(pp.44)(2005.11.4-5、龍谷大学).
岡田 竜弥、東 清一郎、加久 博隆、木庭 直浩、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射短時間熱処理における基板表面温度制御”、薄膜材料デバイス研究会 第2回研究集会、 A-10(pp.45)(2005.11.4-5、龍谷大学).
東 清一郎、藤井 直人、岡田 竜弥、木庭 直浩、村上 秀樹、宮崎 誠一、“SiOx薄膜のミリ秒熱処理によるSiナノ結晶作製”、第66回応用物理学会学術講演会 講演予稿集 No. 2, p. 745 (2005.9.7-11、徳島大学).
加久 博隆、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるミリ秒領域でのSi薄膜結晶化過程の解析”、第66回応用物理学会学術講演会 講演予稿集 No. 2, p. 713 (2005.9.7-11、徳島大学).
岡田 竜弥、東 清一郎、加久 博隆、木庭 直浩、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射短時間熱処理における基板表面温度制御”、第66回応用物理学会学術講演会 講演予稿集 No. 2, p. 712 (2005.9.7-11、徳島大学).

2004年度

K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Fabrication of Multiple-Stacked Si Quantum Dots and Its Application to Light Emitting Diodes,” 4th Int. Symp. Nanotechnol. (Tokyo, Japan, Feb. 20-21, 2005), pp. 168-169.
S. Higashi, H. Kaku, T. Okada, H. Taniguchi, H. Murakami, and S. Miyazaki, “Crystallization of Amorphous Si Films on Glass Substrate Using Plasma Jet and Its Application to Thin Film Transistor Fabrication,” Ext. Abs. 3rd Hiroshima Int. Workshop Nanoelectronics Tera-Bit Information Processing, (Hiroshima, Japan, Dec. 6, 2004), pp. 86-87
K. Makihara, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Fabrication of Multiply-Stacked Structures of Si Quantum-Dots Embedded in SiO2 by Combination of Low-Pressure CVD with Remote Plasma Treatments,” 2004 Int. Microprocesses Nanotechnol. Conf. (MNC), (Osaka, Japan, Oct. 27-29, 2004), pp. 216-217.
T. Nagai, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Photo-Induced Electron Charging to Silicon-Quantum-Dot Floating Gate in Metal-Oxide-Semiconductor Memories,” 2004 Int. Conf. Solid State Dev. Mat. (SSDM2004), (Tokyo, Japan, Sept. 14-17, 2004), pp. 126-127.
M. Sugimura, A. Ohta, H. Nakagawa, T. Shibaguchi, S. Higashi, and S. Miyazaki, “Evaluation of Electronic Defect States at Poly-Si/HfO2 interface by Photoelectron Yield Spectroscopy,” 2004 Int. Conf. Solid State Dev. Mat. (SSDM2004), (Tokyo, Japan, Sept. 14-17, 2004), pp. 792-793.
S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, and S. Miyazaki, “Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet,” Int. Conf. Polycrystalline Semiconductors 2004 (POLYSE), (Potsdam, Germany, Sept. 5-10, 2004).
S. Higashi, H. Kaku, H. Murakami, S. Miyazaki, M. Asami, H. Watakabe, N. Ando, and T. Sameshima, “Crystallization of Si Thin Film Using Thermal Plasma Jet and Its Application to Thin-Film Transistor Fabrication,” Dig. Tech. Pap. AM-LCD 04, (Tokyo Japan, Aug. 25-27, 2004), pp. 179-180.
K. Makihara, Y. Okamoto, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of germanium nanocrystallites grown on quartz by a conductive AFM probe technique,” 2004 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2004), (Sasebo, Japan, Jun. 30 – Jul. 2, 2004), pp. 277-280.
H. Murakami, Y. Moriwaki, M. Fujitake, D. Azuma, S. Higashi, and S. Miyazaki, “Characterization of Atom Diffustion in Polycrystalline Si/SiO2/Si Stacked Gate,” 2004 Asia-Pacific Workshop Fundamentals and Applications of Advanced Semiconductor Dev. (AWAD2004), (Sasebo, Japan, Jun. 30 – Jul. 2, 2004), pp. 189-193.
H. Kaku, S. Higashi, H. Taniguchi, H. Murakami, and S. Miyazaki, “A New Crystallization Technique of Si Flms on Glass Substrate Using Thermal Plasma Jet,” Abs. 12th Int. Conf. Solid Films Surf. (ICSFS), (Hamamatsu, Japan, Jun. 21-25, 2004), p. 7.
K. Makihara, H. Deki, H. Murakami, S. Higashi, and S. Miyazaki, "Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment,” Abs. 12th Int. Conf. Solid Films Surf. (ICSFS), (Hamamatsu, Japan, Jun. 21-25, 2004), p. 137.
N. Kosku, H. Murakami, S. Higashi and S. Miyazaki, “Influence of Substrate DC Bias on Crystallinity of Si Films Grown at a High Rate from Inductively-Coupled Plasma CVD,” Abs. 12th Int. Conf. Solid Films Surf. (ICSFS), (Hamamatsu, Japan, Jun. 21-25, 2004), p. 202.
Y. Okamoto, K. Makihara, S. Higashi and S. Miyazaki, “Formation of Microcrystalline Germanium(μc-Ge:H) Films from Inductively-Coupled Plasma CVD,” Abs. 12th Int. Conf. Solid Films Surf. (ICSFS), (Hamamatsu, Japan, Jun. 21-25, 2004), p. 10.
H. Nakagawa, A. Ohta, F. Takeno, S. Nagamachi, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH3-nitrided Si(100),” 2004 Int. Workshop Dielectric Thin Films for Future ULSI Dev. (IWDTF2004), (Tokyo, Japan, May. 26-28, 2004), pp. 35-36.
岡田 竜弥、加久 博隆、村上 秀樹、東 清一郎、宮崎 誠一、“熱プラズマジェットによる短時間熱処理過程の温度解析”、第52回応用物理学関係連合講演会 講演予稿集 No. 2, p. 1016 (2005.3.29-4.1、埼玉大学).
加久 博隆、東 清一郎、岡田 竜弥、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射による極薄Si膜の結晶化”、第52回応用物理学関係連合講演会 講演予稿集 No. 2, p. 947 (2005.3.29-4.1、埼玉大学).
山下 亜希子、岡本 祥裕、東 清一郎、宮崎 誠一、渡壁 創、鮫島 俊之、“Ge/Si積層構造のエキシマレーザー誘起結晶成長過程のその場観測”、第52回応用物理学関係連合講演会 講演予稿集 No. 2, p. 944 (2005.3.29-4.1、埼玉大学).
東 清一郎、加久 博隆、村上 秀樹、宮崎 誠一、浅見 雅彦、渡壁 創、安藤 伸行、鮫島 俊之、“熱プラズマジェットを用いたa-Si膜の結晶化とTFT応用”、薄膜材料デバイス研究会 第1回研究集会、 PR12(pp.53)(2004.11.12-13、あすなら会議場).ランプセッション
東 清一郎、加久 博隆、村上 秀樹、宮崎 誠一、“熱プラズマジェットを用いたa-Si膜の結晶化とTFT応用”、薄膜材料デバイス研究会 第1回研究集会、 PS01(pp.57)(2004.11.12-13、あすなら会議場).
加久 博隆、東 清一郎、谷口 弘、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるSi薄膜結晶化過程の実時間観測”、薄膜材料デバイス研究会 第1回研究集会、 PS02(pp.58)(2004.11.12-13、あすなら会議場).
鮫島 俊之、渡壁 創、安藤 伸行、東 清一郎、“ナノメータ薄膜シリコンのレーザ結晶化”、薄膜材料デバイス研究会 第1回研究集会、 PS08(pp.65)(2004.11.12-13、あすなら会議場).
山下 亜希子、岡本 祥裕、加久 博隆、ニハンコスク、東 清一郎、宮崎 誠一、 渡壁 創、鮫島 俊之、“Si上Ge薄膜のエキシマレーザー誘起結晶成長”、薄膜材料デバイス研究会 第1回研究集会、 PS09(pp.65)(2004.11.12-13、奈良市あすなら会議場).
東 清一郎、“ゲート絶縁膜形成技術”、薄膜材料デバイス研究会 第1回研究集会、 PS09(pp.18-23)(2004.11.12-13、奈良市あすなら会議場).チュートリアル
加久 博隆、東 清一郎、谷口 弘、村上 秀樹、宮崎 誠一、“熱プラズマジェット照射によるSi薄膜結晶化過程の実時間観測”、第65回応用物理学会学術講演会 講演予稿集 No. 2, p. 730 (2004.9.1-4、東北学院大学).
山下 亜希子、徳永 達也、岡本 祥裕、東 清一郎、宮崎 誠一、“Si基板上Ge薄膜のエキシマレーザー誘起結晶成長”、第65回応用物理学会学術講演会 講演予稿集 No. 2, p. 726 (2004.9.1-4、東北学院大学).

2003年度

K. Makihara, Y. Okamoto, H. Nakagawa, H. Murakami, S. Higashi, and S. Miyazaki, “Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe,” 16th Symp. Plasma Science for Mat. (SPSM16), (Tokyo, Japan, Jun. 4-5, 2003), p. 115.
加久 博隆、谷口 弘、村上 秀樹、東 清一郎、宮崎 誠一、“熱プラズマジェットによるa-Si薄膜の結晶化”、第51回応用物理学関係連合講演会 講演予稿集 No. 2, p. 1001 (2004.3.28-31、東京工科大学).
東 清一郎、加久 博隆、谷口 弘、村上 秀樹、宮崎 誠一、“熱プラズマジェットによる薄膜の短時間熱処理技術”、第51回応用物理学関係連合講演会 講演予稿集 No. 2, p. 933 (2004.3.28-31、東京工科大学).